// ASR_SBFM_32M_bitfield 0001001100xxxxxxxxxxxxxxxxxxxxxx 13077CF5 asr w21, w7, #0x7 131E7CAF asr w15, w5, #0x1e 130E7E28 asr w8, w17, #0xe 131A7CA7 asr w7, w5, #0x1a 131B7CB1 asr w17, w5, #0x1b 13017E2D asr w13, w17, #0x1 13077C3B asr w27, w1, #0x7 131C7C12 asr w18, w0, #0x1c 130B7DB1 asr w17, w13, #0xb 13027FBC asr w28, w29, #0x2 13177D82 asr w2, w12, #0x17 13117FCF asr w15, w30, #0x11 13167E76 asr w22, w19, #0x16 13047D38 asr w24, w9, #0x4 130B7E1D asr w29, w16, #0xb 13007E87 asr w7, w20, #0x0 // ASR_SBFM_64M_bitfield 1001001101xxxxxx111111xxxxxxxxxx 9361FD58 asr x24, x10, #0x21 937BFFC8 asr x8, x30, #0x3b 9373FD27 asr x7, x9, #0x33 935AFEB1 asr x17, x21, #0x1a 9378FC85 asr x5, x4, #0x38 9357FD9E asr x30, x12, #0x17 9351FDC1 asr x1, x14, #0x11 9361FD87 asr x7, x12, #0x21 937DFFDB asr x27, x30, #0x3d 936BFCA0 asr x0, x5, #0x2b 9344FD33 asr x19, x9, #0x4 937EFCDA asr x26, x6, #0x3e 9372FF6B asr x11, x27, #0x32 936AFFA6 asr x6, x29, #0x2a 9365FE05 asr x5, x16, #0x25 9358FED2 asr x18, x22, #0x18 // LSR_UBFM_32M_bitfield 0101001100xxxxxxxxxxxxxxxxxxxxxx 53007F50 lsr w16, w26, #0x0 53167CD9 lsr w25, w6, #0x16 53077DB6 lsr w22, w13, #0x7 53017E54 lsr w20, w18, #0x1 53117DA7 lsr w7, w13, #0x11 53127E80 lsr w0, w20, #0x12 53047C2E lsr w14, w1, #0x4 53027DE6 lsr w6, w15, #0x2 531F7C64 lsr w4, w3, #0x1f 53117F57 lsr w23, w26, #0x11 530E7CDC lsr w28, w6, #0xe 531B7CBF lsr wzr, w5, #0x1b 531F7D68 lsr w8, w11, #0x1f 53087C24 lsr w4, w1, #0x8 53057DFA lsr w26, w15, #0x5 531E7E52 lsr w18, w18, #0x1e // LSR_UBFM_64M_bitfield 1101001101xxxxxx111111xxxxxxxxxx D359FD4B lsr x11, x10, #0x19 D364FE56 lsr x22, x18, #0x24 D372FC59 lsr x25, x2, #0x32 D36EFC97 lsr x23, x4, #0x2e D357FCFC lsr x28, x7, #0x17 D35EFEB4 lsr x20, x21, #0x1e D347FDDE lsr x30, x14, #0x7 D35CFEB2 lsr x18, x21, #0x1c D34DFF89 lsr x9, x28, #0xd D35AFE0E lsr x14, x16, #0x1a D37FFE23 lsr x3, x17, #0x3f D36EFC1F lsr xzr, x0, #0x2e D371FFD3 lsr x19, x30, #0x31 D36FFE8A lsr x10, x20, #0x2f D35EFCDF lsr xzr, x6, #0x1e D359FF7B lsr x27, x27, #0x19 // ABS_asimdmisc_R 0x001110xx100000101110xxxxxxxxxx 0E60BA1C abs v28.4h, v16.4h 0EA0B9A1 abs v1.2s, v13.2s 4E60BADD abs v29.8h, v22.8h 0E60BA35 abs v21.4h, v17.4h 0E20B950 abs v16.8b, v10.8b 4E20BAB4 abs v20.16b, v21.16b 0EA0B973 abs v19.2s, v11.2s 0E20B94B abs v11.8b, v10.8b 4E20B825 abs v5.16b, v1.16b 0EA0BBD6 abs v22.2s, v30.2s 4E60BB02 abs v2.8h, v24.8h 4EE0BAEE abs v14.2d, v23.2d 4E60B856 abs v22.8h, v2.8h 0E20B83E abs v30.8b, v1.8b 4EA0BA6C abs v12.4s, v19.4s 0EA0BBF0 abs v16.2s, v31.2s // ABS_asisdmisc_R 0101111011100000101110xxxxxxxxxx 5EE0B942 abs d2, d10 5EE0BBF6 abs d22, d31 5EE0B9FD abs d29, d15 5EE0B9EE abs d14, d15 5EE0B9A8 abs d8, d13 5EE0BA13 abs d19, d16 5EE0BB59 abs d25, d26 5EE0BA2B abs d11, d17 5EE0B98A abs d10, d12 5EE0B8D5 abs d21, d6 5EE0BA91 abs d17, d20 5EE0BA9A abs d26, d20 5EE0B91B abs d27, d8 5EE0B8E9 abs d9, d7 5EE0BBDC abs d28, d30 5EE0BA52 abs d18, d18 // ADCS_32_addsub_carry 00111010000xxxxx000000xxxxxxxxxx 3A1F028F adcs w15, w20, wzr 3A020302 adcs w2, w24, w2 3A0402D9 adcs w25, w22, w4 3A0701F1 adcs w17, w15, w7 3A0001B5 adcs w21, w13, w0 3A05009D adcs w29, w4, w5 3A0E0197 adcs w23, w12, w14 3A0D026D adcs w13, w19, w13 3A0C0054 adcs w20, w2, w12 3A1E0325 adcs w5, w25, w30 3A010258 adcs w24, w18, w1 3A0D00B2 adcs w18, w5, w13 3A050334 adcs w20, w25, w5 3A030238 adcs w24, w17, w3 3A1803EB adcs w11, wzr, w24 3A010355 adcs w21, w26, w1 // ADCS_64_addsub_carry 10111010000xxxxx000000xxxxxxxxxx BA02010D adcs x13, x8, x2 BA010199 adcs x25, x12, x1 BA150018 adcs x24, x0, x21 BA1F03FB adcs x27, xzr, xzr BA12003B adcs x27, x1, x18 BA0D034B adcs x11, x26, x13 BA15015C adcs x28, x10, x21 BA0B00FE adcs x30, x7, x11 BA1A0349 adcs x9, x26, x26 BA1002F2 adcs x18, x23, x16 BA1C02C6 adcs x6, x22, x28 BA1802D2 adcs x18, x22, x24 BA180282 adcs x2, x20, x24 BA100357 adcs x23, x26, x16 BA0F0173 adcs x19, x11, x15 BA020036 adcs x22, x1, x2 // ADC_32_addsub_carry 00011010000xxxxx000000xxxxxxxxxx 1A1D00F0 adc w16, w7, w29 1A0A0000 adc w0, w0, w10 1A06005D adc w29, w2, w6 1A170155 adc w21, w10, w23 1A090075 adc w21, w3, w9 1A1C0348 adc w8, w26, w28 1A1F003A adc w26, w1, wzr 1A0602AC adc w12, w21, w6 1A180227 adc w7, w17, w24 1A1002AC adc w12, w21, w16 1A0A01BB adc w27, w13, w10 1A1E028B adc w11, w20, w30 1A1F011B adc w27, w8, wzr 1A0B03A4 adc w4, w29, w11 1A0E03DC adc w28, w30, w14 1A0A023D adc w29, w17, w10 // ADC_64_addsub_carry 10011010000xxxxx000000xxxxxxxxxx 9A0E0358 adc x24, x26, x14 9A1A0320 adc x0, x25, x26 9A1103B7 adc x23, x29, x17 9A0F03CB adc x11, x30, x15 9A0901DA adc x26, x14, x9 9A080318 adc x24, x24, x8 9A110062 adc x2, x3, x17 9A0D00B6 adc x22, x5, x13 9A0F028A adc x10, x20, x15 9A1D033E adc x30, x25, x29 9A000360 adc x0, x27, x0 9A180166 adc x6, x11, x24 9A0302D9 adc x25, x22, x3 9A00027C adc x28, x19, x0 9A0F0378 adc x24, x27, x15 9A1801CA adc x10, x14, x24 // ADDG_64_addsub_immtags 1001000110xxxxxxxxxxxxxxxxxxxxxx 918B267B addg x27, x19, #0xb0, #0x9 91AC3D57 addg x23, x10, #0x2c0, #0xf 91BB1581 addg x1, x12, #0x3b0, #0x5 91B3251A addg x26, x8, #0x330, #0x9 918B126A addg x10, x19, #0xb0, #0x4 918C1AED addg x13, x23, #0xc0, #0x6 91BD3C1B addg x27, x0, #0x3d0, #0xf 919A376C addg x12, x27, #0x1a0, #0xd 91AC15ED addg x13, x15, #0x2c0, #0x5 91821483 addg x3, x4, #0x20, #0x5 918A2E80 addg x0, x20, #0xa0, #0xb 91AB1616 addg x22, x16, #0x2b0, #0x5 91A711E0 addg x0, x15, #0x270, #0x4 918D0021 addg x1, x1, #0xd0, #0x0 91A4303E addg x30, x1, #0x240, #0xc 918320C2 addg x2, x6, #0x30, #0x8 // ADDHN_asimddiff_N 0x001110xx1xxxxx010000xxxxxxxxxx 0E2343B2 addhn v18.8b, v29.8h, v3.8h 0EAB4361 addhn v1.2s, v27.2d, v11.2d 4E224138 addhn2 v24.16b, v9.8h, v2.8h 4E35416D addhn2 v13.16b, v11.8h, v21.8h 4E2840F9 addhn2 v25.16b, v7.8h, v8.8h 0E6841E0 addhn v0.4h, v15.4s, v8.4s 0EB440C0 addhn v0.2s, v6.2d, v20.2d 0E6E4229 addhn v9.4h, v17.4s, v14.4s 4EAF40D8 addhn2 v24.4s, v6.2d, v15.2d 0E2A42E6 addhn v6.8b, v23.8h, v10.8h 4E364074 addhn2 v20.16b, v3.8h, v22.8h 0E7D40AA addhn v10.4h, v5.4s, v29.4s 0EB841ED addhn v13.2s, v15.2d, v24.2d 0E744003 addhn v3.4h, v0.4s, v20.4s 4E7942C9 addhn2 v9.8h, v22.4s, v25.4s 4E644262 addhn2 v2.8h, v19.4s, v4.4s // ADDP_asimdsame_only 0x001110xx1xxxxx1xxxxxxxxxxxxxxx 4E29BD6C addp v12.16b, v11.16b, v9.16b 4E7ABDFA addp v26.8h, v15.8h, v26.8h 4E3CBDBD addp v29.16b, v13.16b, v28.16b 0E61BD4A addp v10.4h, v10.4h, v1.4h 0EABBF4F addp v15.2s, v26.2s, v11.2s 4E78BC5F addp v31.8h, v2.8h, v24.8h 0E2ABD9F addp v31.8b, v12.8b, v10.8b 0EBFBE10 addp v16.2s, v16.2s, v31.2s 0E38BC05 addp v5.8b, v0.8b, v24.8b 4E26BFA8 addp v8.16b, v29.16b, v6.16b 4E6CBE82 addp v2.8h, v20.8h, v12.8h 0E32BC9D addp v29.8b, v4.8b, v18.8b 4EBFBCA6 addp v6.4s, v5.4s, v31.4s 4EEFBDB6 addp v22.2d, v13.2d, v15.2d 0E79BEE6 addp v6.4h, v23.4h, v25.4h 4E6EBD6B addp v11.8h, v11.8h, v14.8h // ADDP_asisdpair_only 0101111011110001101110xxxxxxxxxx 5EF1B94B addp d11, v10.2d 5EF1BA04 addp d4, v16.2d 5EF1BBC5 addp d5, v30.2d 5EF1BAA4 addp d4, v21.2d 5EF1BB12 addp d18, v24.2d 5EF1B826 addp d6, v1.2d 5EF1B8B5 addp d21, v5.2d 5EF1BBAA addp d10, v29.2d 5EF1B8AC addp d12, v5.2d 5EF1B9BD addp d29, v13.2d 5EF1BBC4 addp d4, v30.2d 5EF1B9A7 addp d7, v13.2d 5EF1B9A8 addp d8, v13.2d 5EF1BBDC addp d28, v30.2d 5EF1BA07 addp d7, v16.2d 5EF1B949 addp d9, v10.2d // ADDS_32S_addsub_ext 00101011001xxxxxxxxxxxxxxxxxxxxx 2B20B351 adds w17, w26, w0, sxth #0x4 2B3C0664 adds w4, w19, w28, uxtb #0x1 2B2E4B5C adds w28, w26, w14, uxtw #0x2 2B39EFAA adds w10, w29, w25, sxtx #0x3 2B2B80DE adds w30, w6, w11, sxtb 2B2703A3 adds w3, w29, w7, uxtb 2B231396 adds w22, w28, w3, uxtb #0x4 2B2392B4 adds w20, w21, w3, sxtb #0x4 2B3EAD1B adds w27, w8, w30, sxth #0x3 2B218C4F adds w15, w2, w1, sxtb #0x3 2B242821 adds w1, w1, w4, uxth #0x2 2B2A6573 adds w19, w11, w10, uxtx #0x1 2B232304 adds w4, w24, w3, uxth 2B2822BD adds w29, w21, w8, uxth 2B2203E9 adds w9, wsp, w2, uxtb 2B2DEA06 adds w6, w16, w13, sxtx #0x2 // ADDS_32S_addsub_imm 001100010xxxxxxxxxxxxxxxxxxxxxxx 311924B4 adds w20, w5, #0x649 315F4C8D adds w13, w4, #0x7d3, lsl #0xc 31038750 adds w16, w26, #0xe1 311D4AF5 adds w21, w23, #0x752 315C4ACD adds w13, w22, #0x712, lsl #0xc 3150DAA8 adds w8, w21, #0x436, lsl #0xc 317092EF adds w15, w23, #0xc24, lsl #0xc 314BFDC7 adds w7, w14, #0x2ff, lsl #0xc 31719A44 adds w4, w18, #0xc66, lsl #0xc 313B1A2D adds w13, w17, #0xec6 316E8CBC adds w28, w5, #0xba3, lsl #0xc 31338EB7 adds w23, w21, #0xce3 31496630 adds w16, w17, #0x259, lsl #0xc 31654866 adds w6, w3, #0x952, lsl #0xc 3136E083 adds w3, w4, #0xdb8 3142A3E0 adds w0, wsp, #0xa8, lsl #0xc // ADDS_32_addsub_shift 00101011xx0xxxxx0xxxxxxxxxxxxxxx 2B1B64AB adds w11, w5, w27, lsl #0x19 2B05134D adds w13, w26, w5, lsl #0x4 2B4B7407 adds w7, w0, w11, lsr #0x1d 2B142555 adds w21, w10, w20, lsl #0x9 2B1C75E6 adds w6, w15, w28, lsl #0x1d 2B8D5D23 adds w3, w9, w13, asr #0x17 2B812036 adds w22, w1, w1, asr #0x8 2B43154F adds w15, w10, w3, lsr #0x5 2B170D69 adds w9, w11, w23, lsl #0x3 2B872E0E adds w14, w16, w7, asr #0xb 2B4327E5 adds w5, wzr, w3, lsr #0x9 2B876E17 adds w23, w16, w7, asr #0x1b 2B146B91 adds w17, w28, w20, lsl #0x1a 2B4E6099 adds w25, w4, w14, lsr #0x18 2B1C6C54 adds w20, w2, w28, lsl #0x1b 2B056C3A adds w26, w1, w5, lsl #0x1b // ADDS_64S_addsub_ext 10101011001xxxxxxxxxxxxxxxxxxxxx AB226546 adds x6, x10, x2, uxtx #0x1 AB202F0C adds x12, x24, w0, uxth #0x3 AB311132 adds x18, x9, w17, uxtb #0x4 AB3DCD7C adds x28, x11, w29, sxtw #0x3 AB36C8A4 adds x4, x5, w22, sxtw #0x2 AB380542 adds x2, x10, w24, uxtb #0x1 AB2A82BC adds x28, x21, w10, sxtb AB3C9085 adds x5, x4, w28, sxtb #0x4 AB3C50B0 adds x16, x5, w28, uxtw #0x4 AB29D34E adds x14, x26, w9, sxtw #0x4 AB337361 adds x1, x27, x19, uxtx #0x4 AB354B8C adds x12, x28, w21, uxtw #0x2 AB2C2137 adds x23, x9, w12, uxth AB350084 adds x4, x4, w21, uxtb AB39E041 adds x1, x2, x25, sxtx AB2B30B4 adds x20, x5, w11, uxth #0x4 // ADDS_64S_addsub_imm 101100010xxxxxxxxxxxxxxxxxxxxxxx B13F53BE adds x30, x29, #0xfd4 B116F8EC adds x12, x7, #0x5be B1140075 adds x21, x3, #0x500 B13485BD adds x29, x13, #0xd21 B108262E adds x14, x17, #0x209 B16B1451 adds x17, x2, #0xac5, lsl #0xc B146775D adds x29, x26, #0x19d, lsl #0xc B102E9AB adds x11, x13, #0xba B15AEF10 adds x16, x24, #0x6bb, lsl #0xc B16119EC adds x12, x15, #0x846, lsl #0xc B125C90B adds x11, x8, #0x972 B129C37C adds x28, x27, #0xa70 B10A129C adds x28, x20, #0x284 B178928C adds x12, x20, #0xe24, lsl #0xc B14B402A adds x10, x1, #0x2d0, lsl #0xc B14C516B adds x11, x11, #0x314, lsl #0xc // ADDS_64_addsub_shift 10101011xx0xxxxxxxxxxxxxxxxxxxxx AB58B53D adds x29, x9, x24, lsr #0x2d AB51D5F9 adds x25, x15, x17, lsr #0x35 AB02F753 adds x19, x26, x2, lsl #0x3d AB1B538A adds x10, x28, x27, lsl #0x14 AB0CA4B4 adds x20, x5, x12, lsl #0x29 AB8AF46D adds x13, x3, x10, asr #0x3d AB54F073 adds x19, x3, x20, lsr #0x3c AB47B777 adds x23, x27, x7, lsr #0x2d AB4E56F8 adds x24, x23, x14, lsr #0x15 AB1A85B7 adds x23, x13, x26, lsl #0x21 AB83D5C1 adds x1, x14, x3, asr #0x35 AB84AC4F adds x15, x2, x4, asr #0x2b AB00A3CF adds x15, x30, x0, lsl #0x28 AB8902BC adds x28, x21, x9, asr #0x0 AB5ADC00 adds x0, x0, x26, lsr #0x37 AB075993 adds x19, x12, x7, lsl #0x16 // ADDV_asimdall_only 0x001110xx110001101110xxxxxxxxxx 4E31B9A0 addv b0, v13.16b 0E71BA69 addv h9, v19.4h 0E31B8CC addv b12, v6.8b 4E31B9F5 addv b21, v15.16b 4EB1B916 addv s22, v8.4s 0E71BB1B addv h27, v24.4h 0E31BB4E addv b14, v26.8b 0E31BA6F addv b15, v19.8b 4E31BB69 addv b9, v27.16b 4EB1BAE9 addv s9, v23.4s 4EB1BAC8 addv s8, v22.4s 0E71B876 addv h22, v3.4h 0E71B9B3 addv h19, v13.4h 4EB1BB95 addv s21, v28.4s 4E71BBD9 addv h25, v30.8h 0E31BB90 addv b16, v28.8b // ADD_32_addsub_ext 00001011001xxxxxxxxxxxxxxxxxxxxx 0B3D0294 add w20, w20, w29, uxtb 0B2AC817 add w23, w0, w10, sxtw #0x2 0B3E4B7B add w27, w27, w30, uxtw #0x2 0B2E0BD1 add w17, w30, w14, uxtb #0x2 0B3DED12 add w18, w8, w29, sxtx #0x3 0B28711C add w28, w8, w8, uxtx #0x4 0B2FD123 add w3, w9, w15, sxtw #0x4 0B2322DF add wsp, w22, w3, uxth 0B3252B7 add w23, w21, w18, uxtw #0x4 0B33604F add w15, w2, w19, uxtx 0B2D4FC0 add w0, w30, w13, uxtw #0x3 0B26A8A7 add w7, w5, w6, sxth #0x2 0B3468CD add w13, w6, w20, uxtx #0x2 0B2E700E add w14, w0, w14, uxtx #0x4 0B2432A0 add w0, w21, w4, uxth #0x4 0B344E3E add w30, w17, w20, uxtw #0x3 // ADD_32_addsub_imm 000100010xxxxxxxxxxxxxxxxxxxxxxx 11446F08 add w8, w24, #0x11b, lsl #0xc 1154992F add w15, w9, #0x526, lsl #0xc 1158091C add w28, w8, #0x602, lsl #0xc 1177E925 add w5, w9, #0xdfa, lsl #0xc 1168B721 add w1, w25, #0xa2d, lsl #0xc 115DA368 add w8, w27, #0x768, lsl #0xc 11214723 add w3, w25, #0x851 116690F7 add w23, w7, #0x9a4, lsl #0xc 1155A7C9 add w9, w30, #0x569, lsl #0xc 1172188E add w14, w4, #0xc86, lsl #0xc 11158788 add w8, w28, #0x561 1166E833 add w19, w1, #0x9ba, lsl #0xc 1111C4B5 add w21, w5, #0x471 11726EBA add w26, w21, #0xc9b, lsl #0xc 11284A84 add w4, w20, #0xa12 1104A1F8 add w24, w15, #0x128 // ADD_32_addsub_shift 00001011xx0xxxxxxxxxxxxxxxxxxxxx 0B85559D add w29, w12, w5, asr #0x15 0B1A49EA add w10, w15, w26, lsl #0x12 0B86539C add w28, w28, w6, asr #0x14 0B4C4007 add w7, w0, w12, lsr #0x10 0B0046DD add w29, w22, w0, lsl #0x11 0B0A08AE add w14, w5, w10, lsl #0x2 0B8467A1 add w1, w29, w4, asr #0x19 0B4824C4 add w4, w6, w8, lsr #0x9 0B4E3E56 add w22, w18, w14, lsr #0xf 0B8F27E2 add w2, wzr, w15, asr #0x9 0B0037D5 add w21, w30, w0, lsl #0xd 0B1C4268 add w8, w19, w28, lsl #0x10 0B0F79A7 add w7, w13, w15, lsl #0x1e 0B9F0313 add w19, w24, wzr, asr #0x0 0B5932A4 add w4, w21, w25, lsr #0xc 0B897D61 add w1, w11, w9, asr #0x1f // ADD_64_addsub_ext 10001011001xxxxxxxxxxxxxxxxxxxxx 8B3B6186 add x6, x12, x27, uxtx 8B304F9F add sp, x28, w16, uxtw #0x3 8B3D6CF9 add x25, x7, x29, uxtx #0x3 8B2DB151 add x17, x10, w13, sxth #0x4 8B224465 add x5, x3, w2, uxtw #0x1 8B2900CF add x15, x6, w9, uxtb 8B3D3352 add x18, x26, w29, uxth #0x4 8B3C2B13 add x19, x24, w28, uxth #0x2 8B37B12C add x12, x9, w23, sxth #0x4 8B2E6113 add x19, x8, x14, uxtx 8B307367 add x7, x27, x16, uxtx #0x4 8B31A67B add x27, x19, w17, sxth #0x1 8B204A09 add x9, x16, w0, uxtw #0x2 8B22A584 add x4, x12, w2, sxth #0x1 8B22B102 add x2, x8, w2, sxth #0x4 8B212223 add x3, x17, w1, uxth // ADD_64_addsub_imm 100100010xxxxxxxxxxxxxxxxxxxxxxx 915390FE add x30, x7, #0x4e4, lsl #0xc 911864DE add x30, x6, #0x619 915D0E39 add x25, x17, #0x743, lsl #0xc 916F254C add x12, x10, #0xbc9, lsl #0xc 912236C0 add x0, x22, #0x88d 91631ED7 add x23, x22, #0x8c7, lsl #0xc 91599938 add x24, x9, #0x666, lsl #0xc 9162D985 add x5, x12, #0x8b6, lsl #0xc 912642A9 add x9, x21, #0x990 9145919E add x30, x12, #0x164, lsl #0xc 9137BC9B add x27, x4, #0xdef 9129E707 add x7, x24, #0xa79 91450E2A add x10, x17, #0x143, lsl #0xc 9157ED0A add x10, x8, #0x5fb, lsl #0xc 911DE46D add x13, x3, #0x779 9164793B add x27, x9, #0x91e, lsl #0xc // ADD_64_addsub_shift 10001011xx0xxxxxxxxxxxxxxxxxxxxx 8B4BB429 add x9, x1, x11, lsr #0x2d 8B5EF182 add x2, x12, x30, lsr #0x3c 8B1365D3 add x19, x14, x19, lsl #0x19 8B5B7BBB add x27, x29, x27, lsr #0x1e 8B561A04 add x4, x16, x22, lsr #0x6 8B4F5DA1 add x1, x13, x15, lsr #0x17 8B861023 add x3, x1, x6, asr #0x4 8B10E3F7 add x23, xzr, x16, lsl #0x38 8B82ACCE add x14, x6, x2, asr #0x2b 8B484F3E add x30, x25, x8, lsr #0x13 8B0EB1A4 add x4, x13, x14, lsl #0x2c 8B4A8E44 add x4, x18, x10, lsr #0x23 8B9ED75E add x30, x26, x30, asr #0x35 8B11556C add x12, x11, x17, lsl #0x15 8B976550 add x16, x10, x23, asr #0x19 8B521FD4 add x20, x30, x18, lsr #0x7 // ADD_asimdsame_only 0x001110xx1xxxxx1000xxxxxxxxxxxx 4EAE86AF add v15.4s, v21.4s, v14.4s 4EB485A1 add v1.4s, v13.4s, v20.4s 4EEE8445 add v5.2d, v2.2d, v14.2d 0EAD87A9 add v9.2s, v29.2s, v13.2s 4E388497 add v23.16b, v4.16b, v24.16b 4EA185EA add v10.4s, v15.4s, v1.4s 0E2B85DD add v29.8b, v14.8b, v11.8b 4EEC86A6 add v6.2d, v21.2d, v12.2d 0E3387DA add v26.8b, v30.8b, v19.8b 4E7784B7 add v23.8h, v5.8h, v23.8h 4E2F8650 add v16.16b, v18.16b, v15.16b 4E7484F9 add v25.8h, v7.8h, v20.8h 4E7C8532 add v18.8h, v9.8h, v28.8h 4EEF85A4 add v4.2d, v13.2d, v15.2d 4E2E8450 add v16.16b, v2.16b, v14.16b 0EB387D4 add v20.2s, v30.2s, v19.2s // ADD_asisdsame_only 01011110111xxxxx100001xxxxxxxxxx 5EE687A0 add d0, d29, d6 5EF18743 add d3, d26, d17 5EE1871A add d26, d24, d1 5EFD846D add d13, d3, d29 5EF68488 add d8, d4, d22 5EE787EA add d10, d31, d7 5EE2851D add d29, d8, d2 5EF687EC add d12, d31, d22 5EE084DF add d31, d6, d0 5EE986C7 add d7, d22, d9 5EEC872E add d14, d25, d12 5EEC84FF add d31, d7, d12 5EF38431 add d17, d1, d19 5EFC847D add d29, d3, d28 5EF887C2 add d2, d30, d24 5EF38470 add d16, d3, d19 // ADRP_only_pcreladdr 1xx10000xxxxxxxxxxxxxxxxxxxxxxxx B03C7BEB adrp x11, 0x8000000078f7d000 B0ED9434 adrp x20, 0x7fffffffdb285000 9048B3AF adrp x15, 0x8000000091674000 B0F973C1 adrp x1, 0x7ffffffff2e79000 905D4ABD adrp x29, 0x80000000ba954000 D0B27EC5 adrp x5, 0x7fffffff64fda000 90E7F2DB adrp x27, 0x7fffffffcfe58000 90A217DE adrp x30, 0x7fffffff442f8000 D0867E1E adrp x30, 0x7fffffff0cfc2000 F053CA6D adrp x13, 0x80000000a794f000 B0818C56 adrp x22, 0x7fffffff03189000 90C683AF adrp x15, 0x7fffffff8d074000 D07FF741 adrp x1, 0x80000000ffeea000 D0A70831 adrp x17, 0x7fffffff4e106000 F0DA5754 adrp x20, 0x7fffffffb4aeb000 D0C1BFAC adrp x12, 0x7fffffff837f6000 // ADR_only_pcreladdr 0xx10000xxxxxxxxxxxxxxxxxxxxxxxx 108325D8 adr x24, 0x7ffffffffff064bc 30E9F822 adr x2, 0x7ffffffffffd3f09 10B4E2B1 adr x17, 0x7ffffffffff69c58 5047E210 adr x16, 0x800000000008fc46 3067872D adr x13, 0x80000000000cf0e9 10B59CD0 adr x16, 0x7ffffffffff6b39c 1095EAC3 adr x3, 0x7ffffffffff2bd5c 705D2D1C adr x28, 0x80000000000ba5a7 30708296 adr x22, 0x80000000000e1055 50805746 adr x6, 0x7ffffffffff00aee 306B437D adr x29, 0x80000000000d6871 10F1F199 adr x25, 0x7ffffffffffe3e34 3058C4CB adr x11, 0x80000000000b189d 308FBAF8 adr x24, 0x7ffffffffff1f761 70B6D87A adr x26, 0x7ffffffffff6db13 502476ED adr x13, 0x8000000000048ee2 // AESD_B_cryptoaes 0100111000101000010110xxxxxxxxxx 4E285802 aesd v2.16b, v0.16b 4E285A99 aesd v25.16b, v20.16b 4E2859FF aesd v31.16b, v15.16b 4E285A63 aesd v3.16b, v19.16b 4E28593C aesd v28.16b, v9.16b 4E285BD9 aesd v25.16b, v30.16b 4E285A54 aesd v20.16b, v18.16b 4E28591B aesd v27.16b, v8.16b 4E28595F aesd v31.16b, v10.16b 4E285907 aesd v7.16b, v8.16b 4E285AB7 aesd v23.16b, v21.16b 4E285BFD aesd v29.16b, v31.16b 4E285AA7 aesd v7.16b, v21.16b 4E285B28 aesd v8.16b, v25.16b 4E285931 aesd v17.16b, v9.16b 4E28586C aesd v12.16b, v3.16b // AESE_B_cryptoaes 0100111000101000010010xxxxxxxxxx 4E284BE7 aese v7.16b, v31.16b 4E284A86 aese v6.16b, v20.16b 4E2848AF aese v15.16b, v5.16b 4E2849FE aese v30.16b, v15.16b 4E284A39 aese v25.16b, v17.16b 4E284A91 aese v17.16b, v20.16b 4E28483E aese v30.16b, v1.16b 4E284A15 aese v21.16b, v16.16b 4E284A53 aese v19.16b, v18.16b 4E284839 aese v25.16b, v1.16b 4E284B09 aese v9.16b, v24.16b 4E284979 aese v25.16b, v11.16b 4E28497A aese v26.16b, v11.16b 4E2848AE aese v14.16b, v5.16b 4E2848CF aese v15.16b, v6.16b 4E284A6F aese v15.16b, v19.16b // AESIMC_B_cryptoaes 0100111000101000011110xxxxxxxxxx 4E287A67 aesimc v7.16b, v19.16b 4E28797A aesimc v26.16b, v11.16b 4E28787D aesimc v29.16b, v3.16b 4E28793D aesimc v29.16b, v9.16b 4E287B4E aesimc v14.16b, v26.16b 4E2878E5 aesimc v5.16b, v7.16b 4E287A0F aesimc v15.16b, v16.16b 4E287A82 aesimc v2.16b, v20.16b 4E287BA2 aesimc v2.16b, v29.16b 4E287987 aesimc v7.16b, v12.16b 4E287865 aesimc v5.16b, v3.16b 4E28794C aesimc v12.16b, v10.16b 4E287A90 aesimc v16.16b, v20.16b 4E2879E2 aesimc v2.16b, v15.16b 4E28795E aesimc v30.16b, v10.16b 4E287B6C aesimc v12.16b, v27.16b // AESMC_B_cryptoaes 0100111000101000011010xxxxxxxxxx 4E286A06 aesmc v6.16b, v16.16b 4E286905 aesmc v5.16b, v8.16b 4E286BE7 aesmc v7.16b, v31.16b 4E286A98 aesmc v24.16b, v20.16b 4E286955 aesmc v21.16b, v10.16b 4E286A33 aesmc v19.16b, v17.16b 4E2869D4 aesmc v20.16b, v14.16b 4E2869A9 aesmc v9.16b, v13.16b 4E286B73 aesmc v19.16b, v27.16b 4E2868F9 aesmc v25.16b, v7.16b 4E286947 aesmc v7.16b, v10.16b 4E286875 aesmc v21.16b, v3.16b 4E2869D1 aesmc v17.16b, v14.16b 4E2868AA aesmc v10.16b, v5.16b 4E28695C aesmc v28.16b, v10.16b 4E286B98 aesmc v24.16b, v28.16b // ANDS_32S_log_imm 0111001000xxxxxxxxxxxxxxxxxxxxxx 723640FA ands w26, w7, #0x7fffc00 720B20AC ands w12, w5, #0x3fe00000 720969A4 ands w4, w13, #0xff83ffff 723E9B50 ands w16, w26, #0x1fc01fc 72034F45 ands w5, w26, #0xe001ffff 72285E00 ands w0, w16, #0xff00ffff 723E2523 ands w3, w9, #0xffc 7227B56D ands w13, w11, #0xfe7ffe7f 72349FBD ands w29, w29, #0xf00ff00f 7235566C ands w12, w19, #0xfffff801 72121BDE ands w30, w30, #0x1fc000 723FB8C7 ands w7, w6, #0xfffefffe 722C647D ands w29, w3, #0xfff03fff 7205017A ands w26, w11, #0x8000000 723DB915 ands w21, w8, #0xfffbfffb 723CAA22 ands w2, w17, #0x7ff07ff0 // ANDS_32_log_shift 01101010xx0xxxxx0xxxxxxxxxxxxxxx 6A140D5B ands w27, w10, w20, lsl #0x3 6A847118 ands w24, w8, w4, asr #0x1c 6A442DE6 ands w6, w15, w4, lsr #0xb 6A5A40C4 ands w4, w6, w26, lsr #0x10 6ACB42D6 ands w22, w22, w11, ror #0x10 6AD97CC1 ands w1, w6, w25, ror #0x1f 6AC837FC ands w28, wzr, w8, ror #0xd 6A84462B ands w11, w17, w4, asr #0x11 6AD05283 ands w3, w20, w16, ror #0x14 6A8361E3 ands w3, w15, w3, asr #0x18 6A8831D7 ands w23, w14, w8, asr #0xc 6ACE71CF ands w15, w14, w14, ror #0x1c 6A042DC5 ands w5, w14, w4, lsl #0xb 6A1A1CDC ands w28, w6, w26, lsl #0x7 6AC27F90 ands w16, w28, w2, ror #0x1f 6ACF1A68 ands w8, w19, w15, ror #0x6 // ANDS_64S_log_imm 111100100xxxxxxxxxxxxxxxxxxxxxxx F21050B3 ands x19, x5, #0xffff001fffff001f F21F7285 ands x5, x20, #0x3ffffffe3ffffffe F2008700 ands x0, x24, #0x3000300030003 F2695F1E ands x30, x24, #0x7fffff800000 F2619968 ands x8, x11, #0xffffffff8000003f F25171BC ands x28, x13, #0xffff800000000fff F22AC840 ands x0, x2, #0xc1c1c1c1c1c1c1c1 F21B79A7 ands x7, x13, #0xffffffefffffffef F24789B9 ands x25, x13, #0xfe0000000fffffff F21BE9C7 ands x7, x14, #0xeeeeeeeeeeeeeeee F22BB8B5 ands x21, x5, #0xffefffefffefffef F2176FB7 ands x23, x29, #0xfffffe1ffffffe1f F20A3315 ands x21, x24, #0xffc00007ffc00007 F25B8DB3 ands x19, x13, #0xffffffe0000001ff F2027798 ands x24, x28, #0xcfffffffcfffffff F227F1EF ands x15, x15, #0xaaaaaaaaaaaaaaaa // ANDS_64_log_shift 11101010xx0xxxxxxxxxxxxxxxxxxxxx EAC2202C ands x12, x1, x2, ror #0x8 EA8AD6F2 ands x18, x23, x10, asr #0x35 EA1391BB ands x27, x13, x19, lsl #0x24 EA0A03B9 ands x25, x29, x10 EA4D8AE5 ands x5, x23, x13, lsr #0x22 EA4D5462 ands x2, x3, x13, lsr #0x15 EA51F60D ands x13, x16, x17, lsr #0x3d EA843D02 ands x2, x8, x4, asr #0xf EAD2F6DC ands x28, x22, x18, ror #0x3d EA95C97A ands x26, x11, x21, asr #0x32 EA8165E9 ands x9, x15, x1, asr #0x19 EA44DA50 ands x16, x18, x4, lsr #0x36 EA819BAC ands x12, x29, x1, asr #0x26 EAC2E477 ands x23, x3, x2, ror #0x39 EAC4E017 ands x23, x0, x4, ror #0x38 EA42106B ands x11, x3, x2, lsr #0x4 // AND_32_log_imm 0001001000xxxxxxxxxxxxxxxxxxxxxx 120C2002 and w2, w0, #0x1ff00000 120F3C02 and w2, w0, #0xfffe0001 121A3BF6 and w22, wzr, #0x1fffc0 12289301 and w1, w24, #0x1f001f00 121B884A and w10, w2, #0xe000e0 12173465 and w5, w3, #0x7ffe00 121066F8 and w24, w23, #0xffff03ff 12079C73 and w19, w3, #0xfe01fe01 12023426 and w6, w1, #0xc0000fff 12176297 and w23, w20, #0xfffffe03 122F41AD and w13, w13, #0xfffe0003 123544EA and w10, w7, #0x1ffff800 1213B23C and w28, w17, #0xe3ffe3ff 123A3AB6 and w22, w21, #0x1fffc0 1227104F and w15, w2, #0x3e000000 1203055C and w28, w10, #0x60000000 // AND_32_log_shift 00001010xx0xxxxxxxxxxxxxxxxxxxxx 0A9F584A and w10, w2, wzr, asr #0x16 0A463DFD and w29, w15, w6, lsr #0xf 0A5A10E9 and w9, w7, w26, lsr #0x4 0A857EA1 and w1, w21, w5, asr #0x1f 0A973279 and w25, w19, w23, asr #0xc 0A807AE1 and w1, w23, w0, asr #0x1e 0A4917BC and w28, w29, w9, lsr #0x5 0A4528D7 and w23, w6, w5, lsr #0xa 0AD26C2E and w14, w1, w18, ror #0x1b 0A406990 and w16, w12, w0, lsr #0x1a 0A4B3B97 and w23, w28, w11, lsr #0xe 0A4033B8 and w24, w29, w0, lsr #0xc 0A5D019E and w30, w12, w29, lsr #0x0 0A4F055C and w28, w10, w15, lsr #0x1 0A594D92 and w18, w12, w25, lsr #0x13 0AC83C6C and w12, w3, w8, ror #0xf // AND_64_log_imm 100100100xxxxxxxxxxxxxxxxxxxxxxx 92103567 and x7, x11, #0x3fff00003fff0000 9208A8E8 and x8, x7, #0xff07ff07ff07ff07 922667CB and x11, x30, #0xfc0ffffffc0fffff 9247F62E and x14, x17, #0xfe7fffffffffffff 9250519C and x28, x12, #0xffff00000000001f 923ACA10 and x16, x16, #0xc1c1c1c1c1c1c1c1 9240A29F and sp, x20, #0x1ffffffffff 9201AE10 and x16, x16, #0x87ff87ff87ff87ff 92798558 and x24, x10, #0x1ffffffff80 9220370F and x15, x24, #0x3fff00003fff 923F0A3F and sp, x17, #0xe0000000e 9266E69B and x27, x20, #0xfffffffffc0fffff 92185F5B and x27, x26, #0xffffff00ffffff00 927EAA6D and x13, x19, #0x1ffffffffffc 927137A9 and x9, x29, #0x1fff8000 924BD1E8 and x8, x15, #0xffe003ffffffffff // AND_64_log_shift 10001010xx0xxxxxxxxxxxxxxxxxxxxx 8AC7E6A3 and x3, x21, x7, ror #0x39 8A0B0577 and x23, x11, x11, lsl #0x1 8A478D17 and x23, x8, x7, lsr #0x23 8A8CDF47 and x7, x26, x12, asr #0x37 8A4EF5B1 and x17, x13, x14, lsr #0x3d 8A4D9EE0 and x0, x23, x13, lsr #0x27 8A1ADFF3 and x19, xzr, x26, lsl #0x37 8A038F79 and x25, x27, x3, lsl #0x23 8A80136B and x11, x27, x0, asr #0x4 8A8BFE92 and x18, x20, x11, asr #0x3f 8A4A8A7E and x30, x19, x10, lsr #0x22 8A90F73B and x27, x25, x16, asr #0x3d 8A00AACE and x14, x22, x0, lsl #0x2a 8A17269A and x26, x20, x23, lsl #0x9 8ADE3A88 and x8, x20, x30, ror #0xe 8A4D657C and x28, x11, x13, lsr #0x19 // AND_asimdsame_only 0x001110001xxxxx000111xxxxxxxxxx 4E391F6A and v10.16b, v27.16b, v25.16b 0E391D48 and v8.8b, v10.8b, v25.8b 4E301EDA and v26.16b, v22.16b, v16.16b 4E331D3E and v30.16b, v9.16b, v19.16b 4E251CD7 and v23.16b, v6.16b, v5.16b 0E2F1D2B and v11.8b, v9.8b, v15.8b 0E3C1F68 and v8.8b, v27.8b, v28.8b 0E391CFE and v30.8b, v7.8b, v25.8b 0E291D35 and v21.8b, v9.8b, v9.8b 4E2F1DBF and v31.16b, v13.16b, v15.16b 0E241CF1 and v17.8b, v7.8b, v4.8b 4E2A1FF4 and v20.16b, v31.16b, v10.16b 0E2C1C66 and v6.8b, v3.8b, v12.8b 0E211C0D and v13.8b, v0.8b, v1.8b 0E311E8B and v11.8b, v20.8b, v17.8b 0E341FB5 and v21.8b, v29.8b, v20.8b // ASR_ASRV_32_dp_2src 00011010110xxxxx001010xxxxxxxxxx 1AD028D2 asr w18, w6, w16 1ADA2A76 asr w22, w19, w26 1AC72B34 asr w20, w25, w7 1AD82ABC asr w28, w21, w24 1ADF2B1F asr wzr, w24, wzr 1ADA2879 asr w25, w3, w26 1AD02BEC asr w12, wzr, w16 1AD92BFC asr w28, wzr, w25 1AD72A5E asr w30, w18, w23 1AC02AD7 asr w23, w22, w0 1AD82B66 asr w6, w27, w24 1AD72A52 asr w18, w18, w23 1ACA2920 asr w0, w9, w10 1AD42940 asr w0, w10, w20 1AC629F2 asr w18, w15, w6 1AD42830 asr w16, w1, w20 // ASR_ASRV_64_dp_2src 10011010110xxxxx001010xxxxxxxxxx 9ADB2B14 asr x20, x24, x27 9AC52A88 asr x8, x20, x5 9AC1292E asr x14, x9, x1 9AC92835 asr x21, x1, x9 9ADD28CB asr x11, x6, x29 9AC228BE asr x30, x5, x2 9AD4287A asr x26, x3, x20 9ADC2A50 asr x16, x18, x28 9ADE2BEC asr x12, xzr, x30 9ADD2B1A asr x26, x24, x29 9AC42A78 asr x24, x19, x4 9ADD294E asr x14, x10, x29 9ADE2A5C asr x28, x18, x30 9AC42862 asr x2, x3, x4 9ACD29C8 asr x8, x14, x13 9AC628FF asr xzr, x7, x6 // AT_SYS_CR_systeminstrs 1101010100001xxx0111100xxxxxxxxx D50B795C sys #0x3, c7, c9, #0x2, x28 D50E79D8 sys #0x6, c7, c9, #0x6, x24 D508792A at s1e1wp, x10 D50A7842 sys #0x2, c7, c8, #0x2, x2 D50B782D sys #0x3, c7, c8, #0x1, x13 D50C7976 sys #0x4, c7, c9, #0x3, x22 D50A79FB sys #0x2, c7, c9, #0x7, x27 D50D7949 sys #0x5, c7, c9, #0x2, x9 D508797D sys #0x0, c7, c9, #0x3, x29 D50F7988 sys #0x7, c7, c9, #0x4, x8 D50A788C sys #0x2, c7, c8, #0x4, x12 D50E78C2 sys #0x6, c7, c8, #0x6, x2 D50879F4 sys #0x0, c7, c9, #0x7, x20 D50F7909 sys #0x7, c7, c9, #0x0, x9 D50E7970 sys #0x6, c7, c9, #0x3, x16 D50F79B9 sys #0x7, c7, c9, #0x5, x25 // AUTDA_64P_dp_1src 1101101011000001000110xxxxxxxxxx DAC11A42 autda x2, x18 DAC118FD autda x29, x7 DAC1185C autda x28, x2 DAC11A2D autda x13, x17 DAC11A63 autda x3, x19 DAC1193B autda x27, x9 DAC11A09 autda x9, x16 DAC1190B autda x11, x8 DAC11AB3 autda x19, x21 DAC11943 autda x3, x10 DAC11B4E autda x14, x26 DAC11959 autda x25, x10 DAC11BDF autda xzr, x30 DAC118F5 autda x21, x7 DAC11A5A autda x26, x18 DAC11967 autda x7, x11 // AUTDB_64P_dp_1src 110110101100000100xxxxxxxxxxxxxx DAC11D51 autdb x17, x10 DAC11FA7 autdb x7, x29 DAC11D69 autdb x9, x11 DAC11F7D autdb x29, x27 DAC11DBE autdb x30, x13 DAC11EA1 autdb x1, x21 DAC11D8E autdb x14, x12 DAC11EBA autdb x26, x21 DAC11D9A autdb x26, x12 DAC11CED autdb x13, x7 DAC11C89 autdb x9, x4 DAC11E34 autdb x20, x17 DAC11E07 autdb x7, x16 DAC11C0F autdb x15, x0 DAC11E56 autdb x22, x18 DAC11F54 autdb x20, x26 // AUTDZA_64Z_dp_1src 110110101100000100111xxxxxxxxxxx DAC13BED autdza x13 DAC13BEF autdza x15 DAC13BE3 autdza x3 DAC13BE6 autdza x6 DAC13BF1 autdza x17 DAC13BFA autdza x26 DAC13BE8 autdza x8 DAC13BEB autdza x11 DAC13BFF autdza xzr DAC13BF8 autdza x24 DAC13BEE autdza x14 DAC13BF6 autdza x22 DAC13BF7 autdza x23 DAC13BF0 autdza x16 DAC13BF3 autdza x19 DAC13BE4 autdza x4 // AUTDZB_64Z_dp_1src 11011010110000010xxxxxxxxxxxxxxx DAC13FE9 autdzb x9 DAC13FF6 autdzb x22 DAC13FE4 autdzb x4 DAC13FFF autdzb xzr DAC13FFD autdzb x29 DAC13FF8 autdzb x24 DAC13FE1 autdzb x1 DAC13FED autdzb x13 DAC13FF1 autdzb x17 DAC13FF3 autdzb x19 DAC13FEE autdzb x14 DAC13FE2 autdzb x2 DAC13FFE autdzb x30 DAC13FE5 autdzb x5 DAC13FE8 autdzb x8 DAC13FF4 autdzb x20 // AUTIA1716_HI_hints 1101010100000011001000011xxxxxxx D503219F autia1716 // AUTIASP_HI_hints 1101010100000011001000111xxxxxxx D50323BF autiasp // AUTIAZ_HI_hints 11010101000000110010001110xxxxxx D503239F autiaz // AUTIA_64P_dp_1src 1101101011000001000100xxxxxxxxxx DAC1128D autia x13, x20 DAC1128E autia x14, x20 DAC11074 autia x20, x3 DAC11363 autia x3, x27 DAC11090 autia x16, x4 DAC11214 autia x20, x16 DAC110ED autia x13, x7 DAC11105 autia x5, x8 DAC111C2 autia x2, x14 DAC1108D autia x13, x4 DAC112C7 autia x7, x22 DAC112F6 autia x22, x23 DAC111E5 autia x5, x15 DAC11249 autia x9, x18 DAC1108E autia x14, x4 DAC1118A autia x10, x12 // AUTIB1716_HI_hints 1101010100000011001000xxxxxxxxxx D50321DF autib1716 // AUTIBSP_HI_hints 110101010000001100100xxxxxxxxxxx D50323FF autibsp // AUTIBZ_HI_hints 11010101000000110010001111xxxxxx D50323DF autibz // AUTIB_64P_dp_1src 1101101011000001000101xxxxxxxxxx DAC11748 autib x8, x26 DAC11569 autib x9, x11 DAC116ED autib x13, x23 DAC11473 autib x19, x3 DAC114B5 autib x21, x5 DAC11694 autib x20, x20 DAC114AD autib x13, x5 DAC11630 autib x16, x17 DAC11571 autib x17, x11 DAC11533 autib x19, x9 DAC1154D autib x13, x10 DAC1166A autib x10, x19 DAC1164E autib x14, x18 DAC114B3 autib x19, x5 DAC11787 autib x7, x28 DAC116C7 autib x7, x22 // AUTIZA_64Z_dp_1src 110110101100000100110xxxxxxxxxxx DAC133F8 autiza x24 DAC133EA autiza x10 DAC133F0 autiza x16 DAC133E9 autiza x9 DAC133E8 autiza x8 DAC133FE autiza x30 DAC133FA autiza x26 DAC133ED autiza x13 DAC133FF autiza xzr DAC133F4 autiza x20 DAC133E0 autiza x0 DAC133E2 autiza x2 DAC133F1 autiza x17 DAC133EB autiza x11 DAC133E6 autiza x6 DAC133E3 autiza x3 // AUTIZB_64Z_dp_1src 11011010110000010011xxxxxxxxxxxx DAC137F7 autizb x23 DAC137EE autizb x14 DAC137F3 autizb x19 DAC137ED autizb x13 DAC137E9 autizb x9 DAC137FA autizb x26 DAC137FD autizb x29 DAC137E7 autizb x7 DAC137E1 autizb x1 DAC137F0 autizb x16 DAC137FE autizb x30 DAC137E2 autizb x2 DAC137E3 autizb x3 DAC137FB autizb x27 DAC137EC autizb x12 DAC137EB autizb x11 // AXFLAG_M_pstate 11010101000000000100xxxx01011111 D5004D5F msr s0_0_c4_c13_2, xzr D500485F msr s0_0_c4_c8_2, xzr D500445F msr s0_0_c4_c4_2, xzr D500435F msr s0_0_c4_c3_2, xzr D5004B5F msr s0_0_c4_c11_2, xzr D5004E5F msr s0_0_c4_c14_2, xzr D5004A5F msr s0_0_c4_c10_2, xzr D500465F msr s0_0_c4_c6_2, xzr D5004C5F msr s0_0_c4_c12_2, xzr D5004F5F msr s0_0_c4_c15_2, xzr D500415F msr s0_0_c4_c1_2, xzr D500495F msr s0_0_c4_c9_2, xzr D500475F msr s0_0_c4_c7_2, xzr D500405F axflag D500455F msr s0_0_c4_c5_2, xzr D500425F msr s0_0_c4_c2_2, xzr // BCAX_VVV16_crypto4 11001110001xxxxxxxxxxxxxxxxxxxxx CE382E9A bcax v26.16b, v20.16b, v24.16b, v11.16b CE350E6E bcax v14.16b, v19.16b, v21.16b, v3.16b CE286581 bcax v1.16b, v12.16b, v8.16b, v25.16b CE24144D bcax v13.16b, v2.16b, v4.16b, v5.16b CE337F8F bcax v15.16b, v28.16b, v19.16b, v31.16b CE2E3AD7 bcax v23.16b, v22.16b, v14.16b, v14.16b CE350350 bcax v16.16b, v26.16b, v21.16b, v0.16b CE241BCF bcax v15.16b, v30.16b, v4.16b, v6.16b CE37299D bcax v29.16b, v12.16b, v23.16b, v10.16b CE3553C7 bcax v7.16b, v30.16b, v21.16b, v20.16b CE213918 bcax v24.16b, v8.16b, v1.16b, v14.16b CE2922B9 bcax v25.16b, v21.16b, v9.16b, v8.16b CE224F29 bcax v9.16b, v25.16b, v2.16b, v19.16b CE3D0CC4 bcax v4.16b, v6.16b, v29.16b, v3.16b CE360837 bcax v23.16b, v1.16b, v22.16b, v2.16b CE2F6BFC bcax v28.16b, v31.16b, v15.16b, v26.16b // BFCVTN_asimdmisc_4S 0x00111010100001011010xxxxxxxxxx // BFCVT_BS_floatdp1 0001111001100011010000xxxxxxxxxx // BFC_BFM_32M_bitfield 0011001100xxxxxxxxxxxxxxxxxxxxxx 330D0FEB bfc w11, #0x13, #0x4 33131BEB bfc w11, #0xd, #0x7 331C53FD bfc w29, #0x4, #0x15 330C2BE3 bfc w3, #0x14, #0xb 33193FE1 bfc w1, #0x7, #0x10 330C03E3 bfc w3, #0x14, #0x1 331E0BEF bfc w15, #0x2, #0x3 33131FE9 bfc w9, #0xd, #0x8 331247F1 bfc w17, #0xe, #0x12 330817F6 bfc w22, #0x18, #0x6 331433E9 bfc w9, #0xc, #0xd 33030BE0 bfc w0, #0x1d, #0x3 330F33FD bfc w29, #0x11, #0xd 331E3BE9 bfc w9, #0x2, #0xf 33162FFE bfc w30, #0xa, #0xc 331123ED bfc w13, #0xf, #0x9 // BFC_BFM_64M_bitfield 1011001101xxxxxxxxxxxxxxxxxxxxxx B35D73E5 bfc x5, #0x23, #0x1d B36363F9 bfc x25, #0x1d, #0x19 B3647BE1 bfc x1, #0x1c, #0x1f B3606BE2 bfc x2, #0x20, #0x1b B3774BED bfc x13, #0x9, #0x13 B35F37F6 bfc x22, #0x21, #0xe B37F83E6 bfc x6, #0x1, #0x21 B36C93EC bfc x12, #0x14, #0x25 B3511FE7 bfc x7, #0x2f, #0x8 B36277E9 bfc x9, #0x1e, #0x1e B36D9FE1 bfc x1, #0x13, #0x28 B37727E6 bfc x6, #0x9, #0xa B35F1BE2 bfc x2, #0x21, #0x7 B35943ED bfc x13, #0x27, #0x11 B3647FE4 bfc x4, #0x1c, #0x20 B3680BF8 bfc x24, #0x18, #0x3 // BFDOT_asimdelem_E 0x00111101xxxxxx1111xxxxxxxxxxxx // BFDOT_asimdsame2_D 0x101110010xxxxx111111xxxxxxxxxx // BFI_BFM_32M_bitfield 00110011000xxxxx0xxxxxxxxxxxxxxx 330D1687 bfi w7, w20, #0x13, #0x6 33183DB8 bfi w24, w13, #0x8, #0x10 330D1443 bfi w3, w2, #0x13, #0x6 330E04FF bfi wzr, w7, #0x12, #0x2 331B2C0F bfi w15, w0, #0x5, #0xc 33142B61 bfi w1, w27, #0xc, #0xb 331C5F9F bfi wzr, w28, #0x4, #0x18 330B0BBA bfi w26, w29, #0x15, #0x3 330C1648 bfi w8, w18, #0x14, #0x6 331D418B bfi w11, w12, #0x3, #0x11 331F5ECB bfi w11, w22, #0x1, #0x18 33122D22 bfi w2, w9, #0xe, #0xc 33110256 bfi w22, w18, #0xf, #0x1 330F1910 bfi w16, w8, #0x11, #0x7 33134903 bfi w3, w8, #0xd, #0x13 33160C0C bfi w12, w0, #0xa, #0x4 // BFI_BFM_64M_bitfield 1011001101xxxxxxxxxxxxxxxxxxxxxx B3620032 bfi x18, x1, #0x1e, #0x1 B37730BA bfi x26, x5, #0x9, #0xd B37F0646 bfi x6, x18, #0x1, #0x2 B371158C bfi x12, x12, #0xf, #0x6 B37B35E3 bfi x3, x15, #0x5, #0xe B36B3A4B bfi x11, x18, #0x15, #0xf B3743C42 bfi x2, x2, #0xc, #0x10 B35B0B46 bfi x6, x26, #0x25, #0x3 B3677CFA bfi x26, x7, #0x19, #0x20 B36F77A7 bfi x7, x29, #0x11, #0x1e B3571CD0 bfi x16, x6, #0x29, #0x8 B3682DC6 bfi x6, x14, #0x18, #0xc B37391B6 bfi x22, x13, #0xd, #0x25 B356549E bfi x30, x4, #0x2a, #0x16 B3582C54 bfi x20, x2, #0x28, #0xc B3731E97 bfi x23, x20, #0xd, #0x8 // BFMLAL_asimdelem_F 0x00111111xxxxxx1111x0xxxxxxxxxx // BFMLAL_asimdsame2_F_ 0x10111011xxxxxxxxxxxxxxxxxxxxxx // BFMMLA_asimdsame2_E 01101110010xxxxx111011xxxxxxxxxx // BFXIL_BFM_32M_bitfield 00110011000xxxxxxxxxxxxxxxxxxxxx 33094C7D bfxil w29, w3, #0x9, #0xb 331C71C6 bfxil w6, w14, #0x1c, #0x1 3304792B bfxil w11, w9, #0x4, #0x1b 330C5E4C bfxil w12, w18, #0xc, #0xc 33116773 bfxil w19, w27, #0x11, #0x9 330E4136 bfxil w22, w9, #0xe, #0x3 330465F1 bfxil w17, w15, #0x4, #0x16 33104836 bfxil w22, w1, #0x10, #0x3 330C5F38 bfxil w24, w25, #0xc, #0xc 331075CA bfxil w10, w14, #0x10, #0xe 33115E6F bfxil w15, w19, #0x11, #0x7 331D7A73 bfxil w19, w19, #0x1d, #0x2 330C520E bfxil w14, w16, #0xc, #0x9 330B52CF bfxil w15, w22, #0xb, #0xa 33061EB3 bfxil w19, w21, #0x6, #0x2 33003C42 bfxil w2, w2, #0x0, #0x10 // BFXIL_BFM_64M_bitfield 1011001101xxxxxxxxxxxxxxxxxxxxxx B35564CB bfxil x11, x6, #0x15, #0x5 B3539B81 bfxil x1, x28, #0x13, #0x14 B35AF8EB bfxil x11, x7, #0x1a, #0x25 B3638F4E bfxil x14, x26, #0x23, #0x1 B346C190 bfxil x16, x12, #0x6, #0x2b B34131C3 bfxil x3, x14, #0x1, #0xc B343C7B3 bfxil x19, x29, #0x3, #0x2f B3598B0C bfxil x12, x24, #0x19, #0xa B344C6F3 bfxil x19, x23, #0x4, #0x2e B343880B bfxil x11, x0, #0x3, #0x20 B35AA910 bfxil x16, x8, #0x1a, #0x11 B376E96B bfxil x11, x11, #0x36, #0x5 B348ADBE bfxil x30, x13, #0x8, #0x24 B368EF5E bfxil x30, x26, #0x28, #0x14 B34BDC7E bfxil x30, x3, #0xb, #0x2d B368E704 bfxil x4, x24, #0x28, #0x12 // BICS_32_log_shift 01101010xx1xxxxxxxxxxxxxxxxxxxxx 6AEC152F bics w15, w9, w12, ror #0x5 6A7D52C9 bics w9, w22, w29, lsr #0x14 6AFA2065 bics w5, w3, w26, ror #0x8 6A295F0F bics w15, w24, w9, lsl #0x17 6AE22D0C bics w12, w8, w2, ror #0xb 6AB52BE4 bics w4, wzr, w21, asr #0xa 6A27569B bics w27, w20, w7, lsl #0x15 6AB675F1 bics w17, w15, w22, asr #0x1d 6AE468B6 bics w22, w5, w4, ror #0x1a 6AB87C48 bics w8, w2, w24, asr #0x1f 6AF33B44 bics w4, w26, w19, ror #0xe 6A6637DC bics w28, w30, w6, lsr #0xd 6A3C50A8 bics w8, w5, w28, lsl #0x14 6AF22AEB bics w11, w23, w18, ror #0xa 6A7B460E bics w14, w16, w27, lsr #0x11 6AB700F8 bics w24, w7, w23, asr #0x0 // BICS_64_log_shift 11101010xx1xxxxxxxxxxxxxxxxxxxxx EA68F745 bics x5, x26, x8, lsr #0x3d EA6923FC bics x28, xzr, x9, lsr #0x8 EAEE6406 bics x6, x0, x14, ror #0x19 EAE12E67 bics x7, x19, x1, ror #0xb EA7B27FF bics xzr, xzr, x27, lsr #0x9 EAB37E15 bics x21, x16, x19, asr #0x1f EA6B40A5 bics x5, x5, x11, lsr #0x10 EA7194D2 bics x18, x6, x17, lsr #0x25 EA350A50 bics x16, x18, x21, lsl #0x2 EAACA99F bics xzr, x12, x12, asr #0x2a EAF34F27 bics x7, x25, x19, ror #0x13 EA26D9BA bics x26, x13, x6, lsl #0x36 EA7E5D81 bics x1, x12, x30, lsr #0x17 EA6F74B0 bics x16, x5, x15, lsr #0x1d EAF0718D bics x13, x12, x16, ror #0x1c EAA96BDF bics xzr, x30, x9, asr #0x1a // BIC_32_log_shift 00001010xx1xxxxxxxxxxxxxxxxxxxxx 0A797037 bic w23, w1, w25, lsr #0x1c 0A3E3253 bic w19, w18, w30, lsl #0xc 0AB44E62 bic w2, w19, w20, asr #0x13 0AB73433 bic w19, w1, w23, asr #0xd 0ABB6A1D bic w29, w16, w27, asr #0x1a 0A722C10 bic w16, w0, w18, lsr #0xb 0AED7800 bic w0, w0, w13, ror #0x1e 0AE65D83 bic w3, w12, w6, ror #0x17 0AF3409B bic w27, w4, w19, ror #0x10 0ABA02F1 bic w17, w23, w26, asr #0x0 0AEB56F8 bic w24, w23, w11, ror #0x15 0AE757BF bic wzr, w29, w7, ror #0x15 0A74775A bic w26, w26, w20, lsr #0x1d 0AFD7FF9 bic w25, wzr, w29, ror #0x1f 0A22276C bic w12, w27, w2, lsl #0x9 0AAF30DA bic w26, w6, w15, asr #0xc // BIC_64_log_shift 10001010xx1xxxxxxxxxxxxxxxxxxxxx 8AE4B8EF bic x15, x7, x4, ror #0x2e 8ABF9741 bic x1, x26, xzr, asr #0x25 8A371C9D bic x29, x4, x23, lsl #0x7 8A32960F bic x15, x16, x18, lsl #0x25 8A20ACD0 bic x16, x6, x0, lsl #0x2b 8A648147 bic x7, x10, x4, lsr #0x20 8AFE8D44 bic x4, x10, x30, ror #0x23 8A3FF489 bic x9, x4, xzr, lsl #0x3d 8A35E587 bic x7, x12, x21, lsl #0x39 8AB9648E bic x14, x4, x25, asr #0x19 8AEED213 bic x19, x16, x14, ror #0x34 8A62C165 bic x5, x11, x2, lsr #0x30 8ABF82EC bic x12, x23, xzr, asr #0x20 8AA31F51 bic x17, x26, x3, asr #0x7 8AF70620 bic x0, x17, x23, ror #0x1 8AF63C1B bic x27, x0, x22, ror #0xf // BIC_asimdimm_L_hl 0x10111100000xxx10x101xxxxxxxxxx 2F0097F4 bic v20.4h, #0x1f 2F07B439 bic v25.4h, #0xe1, lsl #0x8 2F00963F bic v31.4h, #0x11 6F0795B0 bic v16.8h, #0xed 6F03B7F3 bic v19.8h, #0x7f, lsl #0x8 6F049616 bic v22.8h, #0x90 2F069557 bic v23.4h, #0xca 2F049416 bic v22.4h, #0x80 6F05B5AD bic v13.8h, #0xad, lsl #0x8 6F04B433 bic v19.8h, #0x81, lsl #0x8 2F06B729 bic v9.4h, #0xd9, lsl #0x8 2F009681 bic v1.4h, #0x14 6F0596BB bic v27.8h, #0xb5 6F05B483 bic v3.8h, #0xa4, lsl #0x8 6F06B7F1 bic v17.8h, #0xdf, lsl #0x8 6F019676 bic v22.8h, #0x33 // BIC_asimdimm_L_sl 0x10111100000xxx0xx101xxxxxxxxxx 2F0415BC bic v28.2s, #0x8d 2F023434 bic v20.2s, #0x41, lsl #0x8 2F031503 bic v3.2s, #0x68 6F05544E bic v14.4s, #0xa2, lsl #0x10 2F055620 bic v0.2s, #0xb1, lsl #0x10 6F0414A1 bic v1.4s, #0x85 2F031679 bic v25.2s, #0x73 6F0615A9 bic v9.4s, #0xcd 2F051601 bic v1.2s, #0xb0 6F0074F2 bic v18.4s, #0x7, lsl #0x18 6F0475C6 bic v6.4s, #0x8e, lsl #0x18 2F06752A bic v10.2s, #0xc9, lsl #0x18 2F0276C2 bic v2.2s, #0x56, lsl #0x18 6F0014D8 bic v24.4s, #0x6 2F053669 bic v9.2s, #0xb3, lsl #0x8 6F063760 bic v0.4s, #0xdb, lsl #0x8 // BIC_asimdsame_only 0x001110011xxxxx000111xxxxxxxxxx 4E6B1F5B bic v27.16b, v26.16b, v11.16b 4E7C1D44 bic v4.16b, v10.16b, v28.16b 0E711EB7 bic v23.8b, v21.8b, v17.8b 4E611FD7 bic v23.16b, v30.16b, v1.16b 0E731D5B bic v27.8b, v10.8b, v19.8b 4E7C1EF5 bic v21.16b, v23.16b, v28.16b 0E771DE4 bic v4.8b, v15.8b, v23.8b 4E781C0F bic v15.16b, v0.16b, v24.16b 4E7A1DBC bic v28.16b, v13.16b, v26.16b 0E6E1C0D bic v13.8b, v0.8b, v14.8b 4E6D1ECD bic v13.16b, v22.16b, v13.16b 0E781C33 bic v19.8b, v1.8b, v24.8b 0E671C99 bic v25.8b, v4.8b, v7.8b 0E701DF0 bic v16.8b, v15.8b, v16.8b 0E7A1CDE bic v30.8b, v6.8b, v26.8b 0E7C1ED3 bic v19.8b, v22.8b, v28.8b // BIF_asimdsame_only 0x101110111xxxxx0xxxxxxxxxxxxxxx 2EE71E78 bif v24.8b, v19.8b, v7.8b 2EEB1F54 bif v20.8b, v26.8b, v11.8b 6EF31E4E bif v14.16b, v18.16b, v19.16b 2EFC1F73 bif v19.8b, v27.8b, v28.8b 2EF31FDE bif v30.8b, v30.8b, v19.8b 6EFA1EC3 bif v3.16b, v22.16b, v26.16b 6EF51D64 bif v4.16b, v11.16b, v21.16b 6EFB1C67 bif v7.16b, v3.16b, v27.16b 6EFF1C9C bif v28.16b, v4.16b, v31.16b 6EEA1C71 bif v17.16b, v3.16b, v10.16b 6EE61C01 bif v1.16b, v0.16b, v6.16b 2EEA1FE7 bif v7.8b, v31.8b, v10.8b 6EF51E8A bif v10.16b, v20.16b, v21.16b 2EE61D32 bif v18.8b, v9.8b, v6.8b 2EEF1E4E bif v14.8b, v18.8b, v15.8b 2EEB1F2D bif v13.8b, v25.8b, v11.8b // BIT_asimdsame_only 0x101110101xxxxx000111xxxxxxxxxx 6EAC1CF1 bit v17.16b, v7.16b, v12.16b 2EA61DEB bit v11.8b, v15.8b, v6.8b 6EA91EAF bit v15.16b, v21.16b, v9.16b 2EB31E05 bit v5.8b, v16.8b, v19.8b 6EB21F25 bit v5.16b, v25.16b, v18.16b 6EB51D13 bit v19.16b, v8.16b, v21.16b 2EAF1F99 bit v25.8b, v28.8b, v15.8b 2EAC1E3B bit v27.8b, v17.8b, v12.8b 6EAD1EF7 bit v23.16b, v23.16b, v13.16b 2EB31E2E bit v14.8b, v17.8b, v19.8b 6EB41C2D bit v13.16b, v1.16b, v20.16b 2EBA1F4A bit v10.8b, v26.8b, v26.8b 6EB31F70 bit v16.16b, v27.16b, v19.16b 2EB41CBD bit v29.8b, v5.8b, v20.8b 2EB91F52 bit v18.8b, v26.8b, v25.8b 6EA81DCF bit v15.16b, v14.16b, v8.16b // BLRAAZ_64_branch_reg 1101011000111111000010xxxxx11111 D63F0B3F blraaz x25 D63F09FF blraaz x15 D63F085F blraaz x2 D63F08BF blraaz x5 D63F09BF blraaz x13 D63F08DF blraaz x6 D63F0B9F blraaz x28 D63F0B1F blraaz x24 D63F099F blraaz x12 D63F0BDF blraaz x30 D63F097F blraaz x11 D63F095F blraaz x10 D63F0B5F blraaz x26 D63F08FF blraaz x7 D63F0A1F blraaz x16 D63F093F blraaz x9 // BLRAA_64P_branch_reg 1101011100111111000010xxxxxxxxxx D73F0A0B blraa x16, x11 D73F0B35 blraa x25, x21 D73F08F5 blraa x7, x21 D73F0B59 blraa x26, x25 D73F0894 blraa x4, x20 D73F0B57 blraa x26, x23 D73F08E0 blraa x7, x0 D73F0841 blraa x2, x1 D73F0803 blraa x0, x3 D73F083B blraa x1, x27 D73F080B blraa x0, x11 D73F0946 blraa x10, x6 D73F0949 blraa x10, x9 D73F0A07 blraa x16, x7 D73F0A28 blraa x17, x8 D73F0801 blraa x0, x1 // BLRABZ_64_branch_reg 1101011000111111000011xxxxx11111 D63F0F3F blrabz x25 D63F0EFF blrabz x23 D63F0F5F blrabz x26 D63F0DBF blrabz x13 D63F0FFF blrabz xzr D63F0DFF blrabz x15 D63F0E9F blrabz x20 D63F0CBF blrabz x5 D63F0C5F blrabz x2 D63F0D9F blrabz x12 D63F0E1F blrabz x16 D63F0D5F blrabz x10 D63F0C7F blrabz x3 D63F0C9F blrabz x4 D63F0C1F blrabz x0 D63F0F9F blrabz x28 // BLRAB_64P_branch_reg 1101011100111111000011xxxxxxxxxx D73F0C6B blrab x3, x11 D73F0EB5 blrab x21, x21 D73F0C71 blrab x3, x17 D73F0E84 blrab x20, x4 D73F0DA5 blrab x13, x5 D73F0DBC blrab x13, x28 D73F0EF3 blrab x23, x19 D73F0CE4 blrab x7, x4 D73F0EFC blrab x23, x28 D73F0F06 blrab x24, x6 D73F0DC8 blrab x14, x8 D73F0E2B blrab x17, x11 D73F0F13 blrab x24, x19 D73F0D76 blrab x11, x22 D73F0F17 blrab x24, x23 D73F0DE8 blrab x15, x8 // BLR_64_branch_reg 1101011000111111000000xxxxx00000 D63F0080 blr x4 D63F00A0 blr x5 D63F03A0 blr x29 D63F0320 blr x25 D63F0260 blr x19 D63F0120 blr x9 D63F0100 blr x8 D63F0240 blr x18 D63F0180 blr x12 D63F02E0 blr x23 D63F0000 blr x0 D63F0360 blr x27 D63F0300 blr x24 D63F0340 blr x26 D63F0200 blr x16 D63F0040 blr x2 // BL_only_branch_imm 100101xxxxxxxxxxxxxxxxxxxxxxxxxx 94A68B21 bl 0x80000000029a2c88 97B0B8FB bl 0x7ffffffffec2e3f0 97435B7A bl 0x7ffffffffd0d6dec 97C7AC41 bl 0x7fffffffff1eb108 97153BE6 bl 0x7ffffffffc54ef9c 9520938C bl 0x8000000004824e34 94FA9A1C bl 0x8000000003ea6874 9407FB16 bl 0x80000000001fec5c 95F2E73E bl 0x8000000007cb9cfc 955C335A bl 0x800000000570cd6c 95323714 bl 0x8000000004c8dc54 94FEECB2 bl 0x8000000003fbb2cc 96040886 bl 0x7ffffffff810221c 949E183A bl 0x80000000027860ec 94AD0FF3 bl 0x8000000002b43fd0 94EDCD31 bl 0x8000000003b734c8 // BRAAZ_64_branch_reg 1101011000011111000010xxxxx11111 D61F0BBF braaz x29 D61F097F braaz x11 D61F0B5F braaz x26 D61F08DF braaz x6 D61F0ADF braaz x22 D61F0A3F braaz x17 D61F09FF braaz x15 D61F083F braaz x1 D61F09BF braaz x13 D61F087F braaz x3 D61F0B7F braaz x27 D61F09DF braaz x14 D61F08FF braaz x7 D61F0A7F braaz x19 D61F091F braaz x8 D61F0A5F braaz x18 // BRAA_64P_branch_reg 1101011100011111000010xxxxxxxxxx D71F091C braa x8, x28 D71F0BEE braa xzr, x14 D71F08A6 braa x5, x6 D71F0873 braa x3, x19 D71F092F braa x9, x15 D71F0A03 braa x16, x3 D71F0B86 braa x28, x6 D71F08C7 braa x6, x7 D71F0A0E braa x16, x14 D71F09D5 braa x14, x21 D71F0B4B braa x26, x11 D71F0ADE braa x22, x30 D71F0996 braa x12, x22 D71F08CD braa x6, x13 D71F0A45 braa x18, x5 D71F08EF braa x7, x15 // BRABZ_64_branch_reg 1101011000011111000011xxxxx11111 D61F0F1F brabz x24 D61F0F3F brabz x25 D61F0D5F brabz x10 D61F0C1F brabz x0 D61F0C7F brabz x3 D61F0D3F brabz x9 D61F0C3F brabz x1 D61F0EBF brabz x21 D61F0E5F brabz x18 D61F0D9F brabz x12 D61F0EDF brabz x22 D61F0F9F brabz x28 D61F0DFF brabz x15 D61F0FDF brabz x30 D61F0F7F brabz x27 D61F0E9F brabz x20 // BRAB_64P_branch_reg 1101011100011111000011xxxxxxxxxx D71F0CD0 brab x6, x16 D71F0DD0 brab x14, x16 D71F0D09 brab x8, x9 D71F0FFC brab xzr, x28 D71F0E58 brab x18, x24 D71F0CDF brab x6, sp D71F0C62 brab x3, x2 D71F0F4A brab x26, x10 D71F0FC4 brab x30, x4 D71F0E03 brab x16, x3 D71F0DDF brab x14, sp D71F0F07 brab x24, x7 D71F0F86 brab x28, x6 D71F0FE5 brab xzr, x5 D71F0DA1 brab x13, x1 D71F0F7B brab x27, x27 // BRK_EX_exception 11010100001xxxxxxxxxxxxxxxx00000 D422A5A0 brk #0x152d D43C73E0 brk #0xe39f D4339620 brk #0x9cb1 D43AE920 brk #0xd749 D4232400 brk #0x1920 D42852A0 brk #0x4295 D429D0C0 brk #0x4e86 D424CCA0 brk #0x2665 D42D48E0 brk #0x6a47 D43C5460 brk #0xe2a3 D434BDE0 brk #0xa5ef D431B640 brk #0x8db2 D430BD20 brk #0x85e9 D4365D80 brk #0xb2ec D424EE80 brk #0x2774 D432B9E0 brk #0x95cf // BR_64_branch_reg 1101011000011111000000xxxxx00000 D61F00E0 br x7 D61F00C0 br x6 D61F0300 br x24 D61F0200 br x16 D61F0120 br x9 D61F02C0 br x22 D61F03C0 br x30 D61F01E0 br x15 D61F01A0 br x13 D61F0180 br x12 D61F02E0 br x23 D61F0280 br x20 D61F01C0 br x14 D61F0260 br x19 D61F0080 br x4 D61F0240 br x18 // BSL_asimdsame_only 0x101110011xxxxx000111xxxxxxxxxx 2E681D2E bsl v14.8b, v9.8b, v8.8b 6E691ECA bsl v10.16b, v22.16b, v9.16b 6E7B1E2C bsl v12.16b, v17.16b, v27.16b 6E621C54 bsl v20.16b, v2.16b, v2.16b 2E6F1FAF bsl v15.8b, v29.8b, v15.8b 6E631D70 bsl v16.16b, v11.16b, v3.16b 2E771E43 bsl v3.8b, v18.8b, v23.8b 6E741E95 bsl v21.16b, v20.16b, v20.16b 2E791EA1 bsl v1.8b, v21.8b, v25.8b 2E751DCD bsl v13.8b, v14.8b, v21.8b 6E721EB4 bsl v20.16b, v21.16b, v18.16b 6E7F1FA5 bsl v5.16b, v29.16b, v31.16b 6E7F1C01 bsl v1.16b, v0.16b, v31.16b 2E7E1EF3 bsl v19.8b, v23.8b, v30.8b 6E6F1F82 bsl v2.16b, v28.16b, v15.16b 6E601D37 bsl v23.16b, v9.16b, v0.16b // BTI_HB_hints 11010101000000110010xxxxxxxxxxxx D503245F bti c D503249F bti j D50324DF bti jc D503241F bti // B_only_branch_imm 000101xxxxxxxxxxxxxxxxxxxxxxxxxx 14F5E1BA b 0x8000000003d786ec 1606DECE b 0x7ffffffff81b7b3c 17F0CCFB b 0x7fffffffffc333f0 14E45E8B b 0x8000000003917a30 17060FE9 b 0x7ffffffffc183fa8 14F317CF b 0x8000000003cc5f40 1411F238 b 0x800000000047c8e4 144A63F4 b 0x8000000001298fd4 1535DC67 b 0x8000000004d771a0 16856225 b 0x7ffffffffa158898 14768851 b 0x8000000001da2148 1566436B b 0x8000000005990db0 15B1F9F2 b 0x8000000006c7e7cc 1562B5A1 b 0x80000000058ad688 1799B1F2 b 0x7ffffffffe66c7cc 1588A469 b 0x80000000062291a8 // B_only_condbranch 01010100xxxxxxxxxxxxxxxxxxx0xxxx 54156881 b.ne 0x800000000002ad14 54FEE2A8 b.hi 0x7fffffffffffdc58 545C8A85 b.pl 0x80000000000b9154 54BE41E4 b.mi 0x7ffffffffff7c840 54696FAA b.ge 0x80000000000d2df8 547D6E61 b.ne 0x80000000000fadd0 54B22A2C b.gt 0x7ffffffffff64548 549873E5 b.pl 0x7ffffffffff30e80 54B293E6 b.vs 0x7ffffffffff65280 544E294D b.le 0x800000000009c52c 548FABC9 b.ls 0x7ffffffffff1f57c 54BE10CF b.nv 0x7ffffffffff7c21c 548A0CE3 b.lo 0x7ffffffffff141a0 54398928 b.hi 0x8000000000073128 54E0A183 b.lo 0x7ffffffffffc1434 54B88129 b.ls 0x7ffffffffff71028 // CASAB_C32_ldstexcl 00001000111xxxxx011111xxxxxxxxxx 08F77C2E casab w23, w14, [x1] 08EF7FF8 casab w15, w24, [sp] 08EA7E7E casab w10, w30, [x19] 08E67EC5 casab w6, w5, [x22] 08FE7EB0 casab w30, w16, [x21] 08EB7C10 casab w11, w16, [x0] 08ED7FB9 casab w13, w25, [x29] 08F57D22 casab w21, w2, [x9] 08F57C66 casab w21, w6, [x3] 08F17F32 casab w17, w18, [x25] 08FD7E99 casab w29, w25, [x20] 08F17E19 casab w17, w25, [x16] 08E97F9C casab w9, w28, [x28] 08F27CC0 casab w18, w0, [x6] 08F67E83 casab w22, w3, [x20] 08F27E02 casab w18, w2, [x16] // CASAH_C32_ldstexcl 01001000111xxxxx011111xxxxxxxxxx 48E57C43 casah w5, w3, [x2] 48ED7FFA casah w13, w26, [sp] 48E37D8B casah w3, w11, [x12] 48F47E7E casah w20, w30, [x19] 48F27D52 casah w18, w18, [x10] 48E07C7F casah w0, wzr, [x3] 48E77F22 casah w7, w2, [x25] 48EF7DCD casah w15, w13, [x14] 48FC7E5A casah w28, w26, [x18] 48E77D82 casah w7, w2, [x12] 48F37C09 casah w19, w9, [x0] 48EA7FA6 casah w10, w6, [x29] 48EA7E75 casah w10, w21, [x19] 48F87F91 casah w24, w17, [x28] 48E47D85 casah w4, w5, [x12] 48E57D04 casah w5, w4, [x8] // CASALB_C32_ldstexcl 0000100xxxxxxxxxxxxxxxxxxxxxxxxx 08E6FF27 casalb w6, w7, [x25] 08F2FDE9 casalb w18, w9, [x15] 08E1FC7B casalb w1, w27, [x3] 08F2FEE2 casalb w18, w2, [x23] 08F1FCD7 casalb w17, w23, [x6] 08E0FE25 casalb w0, w5, [x17] 08F2FDEC casalb w18, w12, [x15] 08FEFE86 casalb w30, w6, [x20] 08F5FD8D casalb w21, w13, [x12] 08FCFFA5 casalb w28, w5, [x29] 08F0FF2C casalb w16, w12, [x25] 08FFFE38 casalb wzr, w24, [x17] 08F3FF43 casalb w19, w3, [x26] 08F9FD88 casalb w25, w8, [x12] 08EAFEA9 casalb w10, w9, [x21] 08E9FD6F casalb w9, w15, [x11] // CASALH_C32_ldstexcl 0100100xxxxxxxxxxxxxxxxxxxxxxxxx 48F2FCDE casalh w18, w30, [x6] 48E0FDCC casalh w0, w12, [x14] 48E1FF65 casalh w1, w5, [x27] 48EAFD40 casalh w10, w0, [x10] 48F6FE2F casalh w22, w15, [x17] 48F1FCE0 casalh w17, w0, [x7] 48E4FDE6 casalh w4, w6, [x15] 48F8FDE8 casalh w24, w8, [x15] 48F4FED6 casalh w20, w22, [x22] 48F4FD9A casalh w20, w26, [x12] 48F6FE03 casalh w22, w3, [x16] 48EAFCAF casalh w10, w15, [x5] 48F0FDFC casalh w16, w28, [x15] 48E1FF40 casalh w1, w0, [x26] 48FCFCF1 casalh w28, w17, [x7] 48EFFDFE casalh w15, w30, [x15] // CASAL_C32_ldstexcl 1000100xxxxxxxxxxxxxxxxxxxxxxxxx 88EDFC7E casal w13, w30, [x3] 88FBFC16 casal w27, w22, [x0] 88F2FEEA casal w18, w10, [x23] 88EFFC87 casal w15, w7, [x4] 88FDFC6A casal w29, w10, [x3] 88F1FCF2 casal w17, w18, [x7] 88F6FC49 casal w22, w9, [x2] 88E4FFF3 casal w4, w19, [sp] 88EEFE24 casal w14, w4, [x17] 88F0FE9F casal w16, wzr, [x20] 88F5FF99 casal w21, w25, [x28] 88F2FF14 casal w18, w20, [x24] 88E1FD14 casal w1, w20, [x8] 88E1FD4E casal w1, w14, [x10] 88F4FEFD casal w20, w29, [x23] 88FCFF53 casal w28, w19, [x26] // CASAL_C64_ldstexcl 1100100xxxxxxxxxxxxxxxxxxxxxxxxx C8F2FDFF casal x18, xzr, [x15] C8F6FF68 casal x22, x8, [x27] C8F0FC56 casal x16, x22, [x2] C8F6FC59 casal x22, x25, [x2] C8EAFFED casal x10, x13, [sp] C8F2FE78 casal x18, x24, [x19] C8EDFC97 casal x13, x23, [x4] C8F2FF20 casal x18, x0, [x25] C8FCFF47 casal x28, x7, [x26] C8EAFC9E casal x10, x30, [x4] C8E4FFCA casal x4, x10, [x30] C8F7FE67 casal x23, x7, [x19] C8F6FD8E casal x22, x14, [x12] C8FCFC96 casal x28, x22, [x4] C8FEFC63 casal x30, x3, [x3] C8EBFC32 casal x11, x18, [x1] // CASA_C32_ldstexcl 10001000111xxxxx011111xxxxxxxxxx 88F17D0C casa w17, w12, [x8] 88F77EBF casa w23, wzr, [x21] 88FD7DBC casa w29, w28, [x13] 88F97ECA casa w25, w10, [x22] 88EC7CA9 casa w12, w9, [x5] 88E27CE9 casa w2, w9, [x7] 88F27C5C casa w18, w28, [x2] 88E97F2D casa w9, w13, [x25] 88F87C8C casa w24, w12, [x4] 88EF7D8D casa w15, w13, [x12] 88F77DB8 casa w23, w24, [x13] 88ED7D08 casa w13, w8, [x8] 88F67C3F casa w22, wzr, [x1] 88F77FB1 casa w23, w17, [x29] 88E37ECD casa w3, w13, [x22] 88FA7E1C casa w26, w28, [x16] // CASA_C64_ldstexcl 11001000111xxxxx011111xxxxxxxxxx C8EA7FBF casa x10, xzr, [x29] C8ED7E7F casa x13, xzr, [x19] C8E87CF4 casa x8, x20, [x7] C8F57E43 casa x21, x3, [x18] C8E97DBB casa x9, x27, [x13] C8F07E8C casa x16, x12, [x20] C8E87EDF casa x8, xzr, [x22] C8F67ED1 casa x22, x17, [x22] C8E67EA0 casa x6, x0, [x21] C8F57DDA casa x21, x26, [x14] C8E87CC2 casa x8, x2, [x6] C8FE7DCE casa x30, x14, [x14] C8FC7D64 casa x28, x4, [x11] C8E87D91 casa x8, x17, [x12] C8E37FA5 casa x3, x5, [x29] C8F07DD2 casa x16, x18, [x14] // CASB_C32_ldstexcl 00001000101xxxxx011111xxxxxxxxxx 08B87E1E casb w24, w30, [x16] 08B67F5E casb w22, w30, [x26] 08AE7D74 casb w14, w20, [x11] 08BF7E9F casb wzr, wzr, [x20] 08AC7F46 casb w12, w6, [x26] 08A67CDA casb w6, w26, [x6] 08AA7DA0 casb w10, w0, [x13] 08A77C2B casb w7, w11, [x1] 08A87D06 casb w8, w6, [x8] 08A37F9A casb w3, w26, [x28] 08B67D56 casb w22, w22, [x10] 08A17C6D casb w1, w13, [x3] 08AA7C32 casb w10, w18, [x1] 08AB7D75 casb w11, w21, [x11] 08B37C76 casb w19, w22, [x3] 08BE7E06 casb w30, w6, [x16] // CASH_C32_ldstexcl 01001000101xxxxx011111xxxxxxxxxx 48A37FB7 cash w3, w23, [x29] 48B57F80 cash w21, w0, [x28] 48A87C7A cash w8, w26, [x3] 48A17CF4 cash w1, w20, [x7] 48A97F84 cash w9, w4, [x28] 48A27DF9 cash w2, w25, [x15] 48BF7FA3 cash wzr, w3, [x29] 48B17FA9 cash w17, w9, [x29] 48BB7E13 cash w27, w19, [x16] 48B17CC6 cash w17, w6, [x6] 48A07CC8 cash w0, w8, [x6] 48A07CCB cash w0, w11, [x6] 48A37C45 cash w3, w5, [x2] 48BC7ECB cash w28, w11, [x22] 48AD7F02 cash w13, w2, [x24] 48B77EC8 cash w23, w8, [x22] // CASLB_C32_ldstexcl 00001000101xxxxx111111xxxxxxxxxx 08AEFDA6 caslb w14, w6, [x13] 08B5FDC0 caslb w21, w0, [x14] 08B3FED1 caslb w19, w17, [x22] 08B5FD9D caslb w21, w29, [x12] 08A2FCB2 caslb w2, w18, [x5] 08ACFD35 caslb w12, w21, [x9] 08AFFEDF caslb w15, wzr, [x22] 08B7FD5C caslb w23, w28, [x10] 08A5FF53 caslb w5, w19, [x26] 08BFFDB0 caslb wzr, w16, [x13] 08BBFF78 caslb w27, w24, [x27] 08ACFE1F caslb w12, wzr, [x16] 08ACFDB0 caslb w12, w16, [x13] 08BCFDA3 caslb w28, w3, [x13] 08AEFFE5 caslb w14, w5, [sp] 08B4FF17 caslb w20, w23, [x24] // CASLH_C32_ldstexcl 01001000101xxxxx111111xxxxxxxxxx 48B8FF0A caslh w24, w10, [x24] 48A5FDEB caslh w5, w11, [x15] 48B0FF7C caslh w16, w28, [x27] 48BBFD3A caslh w27, w26, [x9] 48A7FCBA caslh w7, w26, [x5] 48A2FF6A caslh w2, w10, [x27] 48A8FDE0 caslh w8, w0, [x15] 48A1FD4D caslh w1, w13, [x10] 48A1FF64 caslh w1, w4, [x27] 48A6FD20 caslh w6, w0, [x9] 48BCFD51 caslh w28, w17, [x10] 48B0FD42 caslh w16, w2, [x10] 48BDFE86 caslh w29, w6, [x20] 48B3FE72 caslh w19, w18, [x19] 48A1FF60 caslh w1, w0, [x27] 48B0FC9F caslh w16, wzr, [x4] // CASL_C32_ldstexcl 10001000101xxxxx111111xxxxxxxxxx 88BFFC77 casl wzr, w23, [x3] 88BBFFC6 casl w27, w6, [x30] 88BBFDD9 casl w27, w25, [x14] 88BBFC4E casl w27, w14, [x2] 88B0FCE2 casl w16, w2, [x7] 88BBFCD6 casl w27, w22, [x6] 88BDFE81 casl w29, w1, [x20] 88BAFC31 casl w26, w17, [x1] 88AFFE06 casl w15, w6, [x16] 88B0FE1A casl w16, w26, [x16] 88A7FD89 casl w7, w9, [x12] 88A8FF75 casl w8, w21, [x27] 88BFFE54 casl wzr, w20, [x18] 88B6FCD6 casl w22, w22, [x6] 88BAFECF casl w26, w15, [x22] 88B1FE72 casl w17, w18, [x19] // CASL_C64_ldstexcl 11001000101xxxxx111111xxxxxxxxxx C8B4FF4C casl x20, x12, [x26] C8A8FFDE casl x8, x30, [x30] C8ABFCAE casl x11, x14, [x5] C8B8FEF6 casl x24, x22, [x23] C8BFFCAA casl xzr, x10, [x5] C8A3FFEA casl x3, x10, [sp] C8B9FE7D casl x25, x29, [x19] C8AFFF5C casl x15, x28, [x26] C8AFFFD2 casl x15, x18, [x30] C8B9FCB7 casl x25, x23, [x5] C8A1FCE8 casl x1, x8, [x7] C8A6FDFA casl x6, x26, [x15] C8BDFDAD casl x29, x13, [x13] C8A2FE77 casl x2, x23, [x19] C8B6FD28 casl x22, x8, [x9] C8A6FFF2 casl x6, x18, [sp] // CASPAL_CP32_ldstexcl 00001000011xxxx0111111xxxxxxxxxx 087CFE38 caspal w28, w29, w24, w25, [x17] 0862FD8A caspal w2, w3, w10, w11, [x12] 086CFC2E caspal w12, w13, w14, w15, [x1] 0862FF1A caspal w2, w3, w26, w27, [x24] 0872FC8A caspal w18, w19, w10, w11, [x4] 087CFEC2 caspal w28, w29, w2, w3, [x22] 0866FDB4 caspal w6, w7, w20, w21, [x13] 0862FF98 caspal w2, w3, w24, w25, [x28] 0870FE06 caspal w16, w17, w6, w7, [x16] 0868FCFE caspal w8, w9, w30, wzr, [x7] 0878FE96 caspal w24, w25, w22, w23, [x20] 087EFCB8 caspal w30, wzr, w24, w25, [x5] 0864FFB0 caspal w4, w5, w16, w17, [x29] 0860FE60 caspal w0, w1, w0, w1, [x19] 0862FF66 caspal w2, w3, w6, w7, [x27] 0864FE30 caspal w4, w5, w16, w17, [x17] // CASPAL_CP64_ldstexcl 01001000011xxxx0111111xxxxxxxxxx 4866FF24 caspal x6, x7, x4, x5, [x25] 4862FDAE caspal x2, x3, x14, x15, [x13] 487AFF42 caspal x26, x27, x2, x3, [x26] 4876FF7A caspal x22, x23, x26, x27, [x27] 486CFC7C caspal x12, x13, x28, x29, [x3] 487AFEA4 caspal x26, x27, x4, x5, [x21] 4874FD60 caspal x20, x21, x0, x1, [x11] 486EFCE6 caspal x14, x15, x6, x7, [x7] 487EFE72 caspal x30, xzr, x18, x19, [x19] 4870FF50 caspal x16, x17, x16, x17, [x26] 4872FF32 caspal x18, x19, x18, x19, [x25] 4866FE3A caspal x6, x7, x26, x27, [x17] 486AFC96 caspal x10, x11, x22, x23, [x4] 4876FC34 caspal x22, x23, x20, x21, [x1] 4868FC6C caspal x8, x9, x12, x13, [x3] 4870FFE6 caspal x16, x17, x6, x7, [sp] // CASPA_CP32_ldstexcl 00001000011xxxx0011111xxxxxxxxxx 087A7DA4 caspa w26, w27, w4, w5, [x13] 086A7F28 caspa w10, w11, w8, w9, [x25] 08687D2A caspa w8, w9, w10, w11, [x9] 08687C60 caspa w8, w9, w0, w1, [x3] 08627F86 caspa w2, w3, w6, w7, [x28] 08647D12 caspa w4, w5, w18, w19, [x8] 08687F36 caspa w8, w9, w22, w23, [x25] 087A7D24 caspa w26, w27, w4, w5, [x9] 08787E16 caspa w24, w25, w22, w23, [x16] 08667E9E caspa w6, w7, w30, wzr, [x20] 08747E08 caspa w20, w21, w8, w9, [x16] 08787C1A caspa w24, w25, w26, w27, [x0] 08687FBE caspa w8, w9, w30, wzr, [x29] 08747EC0 caspa w20, w21, w0, w1, [x22] 08727D2C caspa w18, w19, w12, w13, [x9] 08607D2C caspa w0, w1, w12, w13, [x9] // CASPA_CP64_ldstexcl 01001000011xxxx0011111xxxxxxxxxx 487E7D10 caspa x30, xzr, x16, x17, [x8] 48667D14 caspa x6, x7, x20, x21, [x8] 486C7D9A caspa x12, x13, x26, x27, [x12] 487C7C9E caspa x28, x29, x30, xzr, [x4] 48707DE6 caspa x16, x17, x6, x7, [x15] 48627F08 caspa x2, x3, x8, x9, [x24] 487C7F88 caspa x28, x29, x8, x9, [x28] 487E7FEE caspa x30, xzr, x14, x15, [sp] 48667CEA caspa x6, x7, x10, x11, [x7] 48687F30 caspa x8, x9, x16, x17, [x25] 486C7C8A caspa x12, x13, x10, x11, [x4] 48607ECA caspa x0, x1, x10, x11, [x22] 48627DF6 caspa x2, x3, x22, x23, [x15] 48747F2E caspa x20, x21, x14, x15, [x25] 486C7E9E caspa x12, x13, x30, xzr, [x20] 48707F98 caspa x16, x17, x24, x25, [x28] // CASPL_CP32_ldstexcl 00001000001xxxx0111111xxxxxxxxxx 0832FE02 caspl w18, w19, w2, w3, [x16] 082CFF32 caspl w12, w13, w18, w19, [x25] 0826FCEC caspl w6, w7, w12, w13, [x7] 082AFEFA caspl w10, w11, w26, w27, [x23] 0826FCA2 caspl w6, w7, w2, w3, [x5] 0834FCFA caspl w20, w21, w26, w27, [x7] 0826FCAE caspl w6, w7, w14, w15, [x5] 0832FCA6 caspl w18, w19, w6, w7, [x5] 082CFE7C caspl w12, w13, w28, w29, [x19] 082AFF16 caspl w10, w11, w22, w23, [x24] 0822FF4E caspl w2, w3, w14, w15, [x26] 0834FFF8 caspl w20, w21, w24, w25, [sp] 0838FF70 caspl w24, w25, w16, w17, [x27] 0824FCDC caspl w4, w5, w28, w29, [x6] 0828FEA8 caspl w8, w9, w8, w9, [x21] 082AFF64 caspl w10, w11, w4, w5, [x27] // CASPL_CP64_ldstexcl 01001000001xxxx0111111xxxxxxxxxx 483AFC84 caspl x26, x27, x4, x5, [x4] 483AFD18 caspl x26, x27, x24, x25, [x8] 4826FC62 caspl x6, x7, x2, x3, [x3] 4820FC34 caspl x0, x1, x20, x21, [x1] 482EFF3C caspl x14, x15, x28, x29, [x25] 4822FDDA caspl x2, x3, x26, x27, [x14] 4824FC40 caspl x4, x5, x0, x1, [x2] 483AFF76 caspl x26, x27, x22, x23, [x27] 4836FD3C caspl x22, x23, x28, x29, [x9] 4838FCBA caspl x24, x25, x26, x27, [x5] 4820FE88 caspl x0, x1, x8, x9, [x20] 482AFC2C caspl x10, x11, x12, x13, [x1] 4826FEEE caspl x6, x7, x14, x15, [x23] 4832FF6A caspl x18, x19, x10, x11, [x27] 482CFCB8 caspl x12, x13, x24, x25, [x5] 4838FFA8 caspl x24, x25, x8, x9, [x29] // CASP_CP32_ldstexcl 00001000001xxxx0011111xxxxxxxxxx 083C7C64 casp w28, w29, w4, w5, [x3] 08227E30 casp w2, w3, w16, w17, [x17] 08227C7E casp w2, w3, w30, wzr, [x3] 08307EC2 casp w16, w17, w2, w3, [x22] 08267D8A casp w6, w7, w10, w11, [x12] 08387EA0 casp w24, w25, w0, w1, [x21] 082C7CC6 casp w12, w13, w6, w7, [x6] 083C7F96 casp w28, w29, w22, w23, [x28] 083C7C48 casp w28, w29, w8, w9, [x2] 08207EA0 casp w0, w1, w0, w1, [x21] 083E7C52 casp w30, wzr, w18, w19, [x2] 08247F98 casp w4, w5, w24, w25, [x28] 082C7CC4 casp w12, w13, w4, w5, [x6] 08207FAA casp w0, w1, w10, w11, [x29] 08367C36 casp w22, w23, w22, w23, [x1] 08307E94 casp w16, w17, w20, w21, [x20] // CASP_CP64_ldstexcl 01001000001xxxx0011111xxxxxxxxxx 48227F0A casp x2, x3, x10, x11, [x24] 48387E6A casp x24, x25, x10, x11, [x19] 482E7D6C casp x14, x15, x12, x13, [x11] 48347D46 casp x20, x21, x6, x7, [x10] 483A7EA6 casp x26, x27, x6, x7, [x21] 483E7DFC casp x30, xzr, x28, x29, [x15] 483A7CC0 casp x26, x27, x0, x1, [x6] 48287DE8 casp x8, x9, x8, x9, [x15] 48347CD8 casp x20, x21, x24, x25, [x6] 483C7C20 casp x28, x29, x0, x1, [x1] 48267F88 casp x6, x7, x8, x9, [x28] 48327E3A casp x18, x19, x26, x27, [x17] 483E7C92 casp x30, xzr, x18, x19, [x4] 482E7D5E casp x14, x15, x30, xzr, [x10] 483A7F2E casp x26, x27, x14, x15, [x25] 48327C04 casp x18, x19, x4, x5, [x0] // CAS_C32_ldstexcl 10001000101xxxxx011111xxxxxxxxxx 88B67F3B cas w22, w27, [x25] 88AD7EC0 cas w13, w0, [x22] 88A87F85 cas w8, w5, [x28] 88BE7C0C cas w30, w12, [x0] 88AB7D08 cas w11, w8, [x8] 88B87E75 cas w24, w21, [x19] 88BC7E65 cas w28, w5, [x19] 88A27D07 cas w2, w7, [x8] 88A27F83 cas w2, w3, [x28] 88BB7D32 cas w27, w18, [x9] 88BD7D29 cas w29, w9, [x9] 88A37E34 cas w3, w20, [x17] 88BF7FF5 cas wzr, w21, [sp] 88AA7C0E cas w10, w14, [x0] 88B37EED cas w19, w13, [x23] 88AD7E85 cas w13, w5, [x20] // CAS_C64_ldstexcl 11001000101xxxxx011111xxxxxxxxxx C8BB7E15 cas x27, x21, [x16] C8BF7E1F cas xzr, xzr, [x16] C8A87C37 cas x8, x23, [x1] C8B57DBC cas x21, x28, [x13] C8B87D7D cas x24, x29, [x11] C8AF7E64 cas x15, x4, [x19] C8BD7EE4 cas x29, x4, [x23] C8B97E99 cas x25, x25, [x20] C8BF7CFD cas xzr, x29, [x7] C8BD7DA9 cas x29, x9, [x13] C8AA7C53 cas x10, x19, [x2] C8AB7DCC cas x11, x12, [x14] C8B27EB4 cas x18, x20, [x21] C8B37D43 cas x19, x3, [x10] C8A27E2B cas x2, x11, [x17] C8B07F81 cas x16, x1, [x28] // CBNZ_32_compbranch 00110101xxxxxxxxxxxxxxxxxxxxxxxx 358FD614 cbnz w20, 0x7ffffffffff1fac4 35B873D7 cbnz w23, 0x7ffffffffff70e7c 35919B19 cbnz w25, 0x7ffffffffff23364 358E49F1 cbnz w17, 0x7ffffffffff1c940 3513CF92 cbnz w18, 0x80000000000279f4 354C60DB cbnz w27, 0x8000000000098c1c 35895890 cbnz w16, 0x7ffffffffff12b14 359A3937 cbnz w23, 0x7ffffffffff34728 35F0FE44 cbnz w4, 0x7ffffffffffe1fcc 35D74EBF cbnz wzr, 0x7ffffffffffae9d8 35456E99 cbnz w25, 0x800000000008add4 35C3FBE6 cbnz w6, 0x7ffffffffff87f80 35B82392 cbnz w18, 0x7ffffffffff70474 3500EEED cbnz w13, 0x8000000000001de0 35655BD0 cbnz w16, 0x80000000000cab7c 35E945E4 cbnz w4, 0x7ffffffffffd28c0 // CBNZ_64_compbranch 10110101xxxxxxxxxxxxxxxxxxxxxxxx B5ADD172 cbnz x18, 0x7ffffffffff5ba30 B509E585 cbnz x5, 0x8000000000013cb4 B527A330 cbnz x16, 0x800000000004f468 B5333659 cbnz x25, 0x80000000000666cc B5AEFB06 cbnz x6, 0x7ffffffffff5df64 B5BE496E cbnz x14, 0x7ffffffffff7c930 B5FC8B60 cbnz x0, 0x7fffffffffff9170 B596DC17 cbnz x23, 0x7ffffffffff2db84 B5949CC5 cbnz x5, 0x7ffffffffff2939c B5D5C2BA cbnz x26, 0x7ffffffffffab858 B5CD4B6C cbnz x12, 0x7ffffffffff9a970 B5CA6A63 cbnz x3, 0x7ffffffffff94d50 B59D987F cbnz xzr, 0x7ffffffffff3b310 B5F666B3 cbnz x19, 0x7ffffffffffeccd8 B5D1027B cbnz x27, 0x7ffffffffffa2050 B586C14F cbnz x15, 0x7ffffffffff0d82c // CBZ_32_compbranch 00110100xxxxxxxxxxxxxxxxxxxxxxxx 342F64AB cbz w11, 0x800000000005ec98 3442779D cbz w29, 0x8000000000084ef4 3426052C cbz w12, 0x800000000004c0a8 3494A5A4 cbz w4, 0x7ffffffffff294b8 34E4A5F3 cbz w19, 0x7ffffffffffc94c0 3498A84E cbz w14, 0x7ffffffffff3150c 34742F97 cbz w23, 0x80000000000e85f4 3494FCE7 cbz w7, 0x7ffffffffff29fa0 34163652 cbz w18, 0x800000000002c6cc 34FEAC9B cbz w27, 0x7fffffffffffd594 344C56DD cbz w29, 0x8000000000098adc 3487CE61 cbz w1, 0x7ffffffffff0f9d0 34BF8FBF cbz wzr, 0x7ffffffffff7f1f8 34AAB2D2 cbz w18, 0x7ffffffffff5565c 347A3ED2 cbz w18, 0x80000000000f47dc 34241F66 cbz w6, 0x80000000000483f0 // CBZ_64_compbranch 10110100xxxxxxxxxxxxxxxxxxxxxxxx B47F9BED cbz x13, 0x80000000000ff380 B48DBDCD cbz x13, 0x7ffffffffff1b7bc B479927C cbz x28, 0x80000000000f3250 B4B789F9 cbz x25, 0x7ffffffffff6f140 B49D46F6 cbz x22, 0x7ffffffffff3a8e0 B4264A79 cbz x25, 0x800000000004c950 B438727E cbz x30, 0x8000000000070e50 B4D298F2 cbz x18, 0x7ffffffffffa5320 B4AB4042 cbz x2, 0x7ffffffffff5680c B44CD5D7 cbz x23, 0x8000000000099abc B4E8DCC6 cbz x6, 0x7ffffffffffd1b9c B443815F cbz xzr, 0x800000000008702c B413104A cbz x10, 0x800000000002620c B44418AF cbz x15, 0x8000000000088318 B4B6230D cbz x13, 0x7ffffffffff6c464 B41F4B50 cbz x16, 0x800000000003e96c // CCMN_32_condcmp_imm 00111010010xxxxxxxxx10xxxxx0xxxx 3A5B7BE7 ccmn wzr, #0x1b, #0x7, vc 3A50CA8B ccmn w20, #0x10, #0xb, gt 3A5FBB84 ccmn w28, #0x1f, #0x4, lt 3A458A8F ccmn w20, #0x5, #0xf, hi 3A5DDAA2 ccmn w21, #0x1d, #0x2, le 3A5DEAC2 ccmn w22, #0x1d, #0x2, al 3A4EABA0 ccmn w29, #0xe, #0x0, ge 3A53BBE3 ccmn wzr, #0x13, #0x3, lt 3A488AA9 ccmn w21, #0x8, #0x9, hi 3A4D8B8C ccmn w28, #0xd, #0xc, hi 3A5D4A66 ccmn w19, #0x1d, #0x6, mi 3A4C6BEF ccmn wzr, #0xc, #0xf, vs 3A4FB8EB ccmn w7, #0xf, #0xb, lt 3A5DDB4E ccmn w26, #0x1d, #0xe, le 3A55CA8F ccmn w20, #0x15, #0xf, gt 3A51E82A ccmn w1, #0x11, #0xa, al // CCMN_32_condcmp_reg 00111010010xxxxxxxxx00xxxxx0xxxx 3A42A042 ccmn w2, w2, #0x2, ge 3A4B732D ccmn w25, w11, #0xd, vc 3A48E122 ccmn w9, w8, #0x2, al 3A5FD38E ccmn w28, wzr, #0xe, le 3A49F162 ccmn w11, w9, #0x2, nv 3A5B00E6 ccmn w7, w27, #0x6, eq 3A531043 ccmn w2, w19, #0x3, ne 3A4A602A ccmn w1, w10, #0xa, vs 3A4D21A7 ccmn w13, w13, #0x7, hs 3A55B24D ccmn w18, w21, #0xd, lt 3A42E2C3 ccmn w22, w2, #0x3, al 3A59F10B ccmn w8, w25, #0xb, nv 3A51B1AD ccmn w13, w17, #0xd, lt 3A47506A ccmn w3, w7, #0xa, pl 3A4FE107 ccmn w8, w15, #0x7, al 3A5280E4 ccmn w7, w18, #0x4, hi // CCMN_64_condcmp_imm 10111010010xxxxxxxxx10xxxxx0xxxx BA537B2F ccmn x25, #0x13, #0xf, vc BA4A280D ccmn x0, #0xa, #0xd, hs BA584926 ccmn x9, #0x18, #0x6, mi BA54BA09 ccmn x16, #0x14, #0x9, lt BA4509A5 ccmn x13, #0x5, #0x5, eq BA4EB804 ccmn x0, #0xe, #0x4, lt BA5D7B40 ccmn x26, #0x1d, #0x0, vc BA4338ED ccmn x7, #0x3, #0xd, lo BA4418CD ccmn x6, #0x4, #0xd, ne BA5F9BEA ccmn xzr, #0x1f, #0xa, ls BA53F9EC ccmn x15, #0x13, #0xc, nv BA44AA6A ccmn x19, #0x4, #0xa, ge BA51598F ccmn x12, #0x11, #0xf, pl BA4E5B2A ccmn x25, #0xe, #0xa, pl BA4C3928 ccmn x9, #0xc, #0x8, lo BA411844 ccmn x2, #0x1, #0x4, ne // CCMN_64_condcmp_reg 10111010010xxxxxxxxx00xxxxx0xxxx BA45B044 ccmn x2, x5, #0x4, lt BA433304 ccmn x24, x3, #0x4, lo BA5EC149 ccmn x10, x30, #0x9, gt BA553080 ccmn x4, x21, #0x0, lo BA4F33C0 ccmn x30, x15, #0x0, lo BA5AE20E ccmn x16, x26, #0xe, al BA52620A ccmn x16, x18, #0xa, vs BA4932E2 ccmn x23, x9, #0x2, lo BA49D046 ccmn x2, x9, #0x6, le BA49908C ccmn x4, x9, #0xc, ls BA489246 ccmn x18, x8, #0x6, ls BA4F9060 ccmn x3, x15, #0x0, ls BA5AA161 ccmn x11, x26, #0x1, ge BA40314C ccmn x10, x0, #0xc, lo BA55A025 ccmn x1, x21, #0x5, ge BA42602A ccmn x1, x2, #0xa, vs // CCMP_32_condcmp_imm 01111010010xxxxxxxxx10xxxxx0xxxx 7A4B5A46 ccmp w18, #0xb, #0x6, pl 7A479B02 ccmp w24, #0x7, #0x2, ls 7A4BAB4D ccmp w26, #0xb, #0xd, ge 7A4F7AE7 ccmp w23, #0xf, #0x7, vc 7A54E98A ccmp w12, #0x14, #0xa, al 7A5A0A4A ccmp w18, #0x1a, #0xa, eq 7A5CDBEB ccmp wzr, #0x1c, #0xb, le 7A5699EC ccmp w15, #0x16, #0xc, ls 7A437A00 ccmp w16, #0x3, #0x0, vc 7A5F9B01 ccmp w24, #0x1f, #0x1, ls 7A51A8C0 ccmp w6, #0x11, #0x0, ge 7A535BCC ccmp w30, #0x13, #0xc, pl 7A496905 ccmp w8, #0x9, #0x5, vs 7A4E0960 ccmp w11, #0xe, #0x0, eq 7A4D5A80 ccmp w20, #0xd, #0x0, pl 7A414BCC ccmp w30, #0x1, #0xc, mi // CCMP_32_condcmp_reg 01111010010xxxxxxxxx00xxxxx0xxxx 7A41E3AA ccmp w29, w1, #0xa, al 7A5700C1 ccmp w6, w23, #0x1, eq 7A51C0CA ccmp w6, w17, #0xa, gt 7A5BD3E9 ccmp wzr, w27, #0x9, le 7A5322C6 ccmp w22, w19, #0x6, hs 7A53004C ccmp w2, w19, #0xc, eq 7A52E2A0 ccmp w21, w18, #0x0, al 7A455142 ccmp w10, w5, #0x2, pl 7A402346 ccmp w26, w0, #0x6, hs 7A48A041 ccmp w2, w8, #0x1, ge 7A5CE1AC ccmp w13, w28, #0xc, al 7A41B3E0 ccmp wzr, w1, #0x0, lt 7A54F2C0 ccmp w22, w20, #0x0, nv 7A56422F ccmp w17, w22, #0xf, mi 7A5A220C ccmp w16, w26, #0xc, hs 7A47920D ccmp w16, w7, #0xd, ls // CCMP_64_condcmp_imm 11111010010xxxxxxxxx10xxxxx0xxxx FA5A3AEB ccmp x23, #0x1a, #0xb, lo FA4E8947 ccmp x10, #0xe, #0x7, hi FA4028CC ccmp x6, #0x0, #0xc, hs FA57088A ccmp x4, #0x17, #0xa, eq FA46C98A ccmp x12, #0x6, #0xa, gt FA59D8CD ccmp x6, #0x19, #0xd, le FA48FBE9 ccmp xzr, #0x8, #0x9, nv FA4898E2 ccmp x7, #0x8, #0x2, ls FA5FF9A8 ccmp x13, #0x1f, #0x8, nv FA46B9EF ccmp x15, #0x6, #0xf, lt FA57C8A5 ccmp x5, #0x17, #0x5, gt FA50BB69 ccmp x27, #0x10, #0x9, lt FA5C08E6 ccmp x7, #0x1c, #0x6, eq FA453B8E ccmp x28, #0x5, #0xe, lo FA57C9C5 ccmp x14, #0x17, #0x5, gt FA4828A8 ccmp x5, #0x8, #0x8, hs // CCMP_64_condcmp_reg 11111010010xxxxxxxxx00xxxxx0xxxx FA439341 ccmp x26, x3, #0x1, ls FA5CD3AE ccmp x29, x28, #0xe, le FA5A5281 ccmp x20, x26, #0x1, pl FA5B3382 ccmp x28, x27, #0x2, lo FA5F5000 ccmp x0, xzr, #0x0, pl FA53E065 ccmp x3, x19, #0x5, al FA49F08A ccmp x4, x9, #0xa, nv FA5612EC ccmp x23, x22, #0xc, ne FA574285 ccmp x20, x23, #0x5, mi FA5D73EF ccmp xzr, x29, #0xf, vc FA45D2EB ccmp x23, x5, #0xb, le FA5D60C1 ccmp x6, x29, #0x1, vs FA5AA00E ccmp x0, x26, #0xe, ge FA5461AD ccmp x13, x20, #0xd, vs FA5D012A ccmp x9, x29, #0xa, eq FA52514E ccmp x10, x18, #0xe, pl // CFINV_M_pstate 11010101000000000100xxxx00011111 D500401F cfinv D500451F msr s0_0_c4_c5_0, xzr D5004D1F msr s0_0_c4_c13_0, xzr D500431F msr s0_0_c4_c3_0, xzr D500421F msr s0_0_c4_c2_0, xzr D500491F msr s0_0_c4_c9_0, xzr D500461F msr s0_0_c4_c6_0, xzr D500471F msr s0_0_c4_c7_0, xzr D500481F msr s0_0_c4_c8_0, xzr D500411F msr s0_0_c4_c1_0, xzr D5004A1F msr s0_0_c4_c10_0, xzr D5004C1F msr s0_0_c4_c12_0, xzr D5004B1F msr s0_0_c4_c11_0, xzr D5004F1F msr s0_0_c4_c15_0, xzr D500441F msr s0_0_c4_c4_0, xzr D5004E1F msr s0_0_c4_c14_0, xzr // CFP_SYS_CR_systeminstrs 110101010000101101110011100xxxxx D50B738C cfp rctx, x12 D50B7380 cfp rctx, x0 D50B7384 cfp rctx, x4 D50B7385 cfp rctx, x5 D50B7389 cfp rctx, x9 D50B739E cfp rctx, x30 D50B7394 cfp rctx, x20 D50B7387 cfp rctx, x7 D50B739C cfp rctx, x28 D50B739A cfp rctx, x26 D50B738A cfp rctx, x10 D50B7392 cfp rctx, x18 D50B7391 cfp rctx, x17 D50B7383 cfp rctx, x3 D50B7381 cfp rctx, x1 D50B7393 cfp rctx, x19 // CINC_CSINC_32_condsel 00011010100xxxxxxxxx01xxxxxxxxxx 1A9B7765 cinc w5, w27, vs 1A84449F cinc wzr, w4, pl 1A942699 cinc w25, w20, lo 1A85C4B8 cinc w24, w5, le 1A85B4BD cinc w29, w5, ge 1A9516AE cinc w14, w21, eq 1A9716F6 cinc w22, w23, eq 1A9DB7AF cinc w15, w29, ge 1A84A49F cinc wzr, w4, lt 1A8AB551 cinc w17, w10, ge 1A9D47B5 cinc w21, w29, pl 1A8E95D1 cinc w17, w14, hi 1A8A354E cinc w14, w10, hs 1A8FD5E6 cinc w6, w15, gt 1A8D25B6 cinc w22, w13, lo 1A8504AA cinc w10, w5, ne // CINC_CSINC_64_condsel 10011010100xxxxxxxxx01xxxxxxxxxx 9A8BC574 cinc x20, x11, le 9A812436 cinc x22, x1, lo 9A841489 cinc x9, x4, eq 9A9DC7AF cinc x15, x29, le 9A89A53A cinc x26, x9, lt 9A883508 cinc x8, x8, hs 9A8C0582 cinc x2, x12, ne 9A926658 cinc x24, x18, vc 9A9C978B cinc x11, x28, hi 9A997732 cinc x18, x25, vs 9A8A654E cinc x14, x10, vc 9A96A6DB cinc x27, x22, lt 9A88C50F cinc x15, x8, le 9A942696 cinc x22, x20, lo 9A935674 cinc x20, x19, mi 9A84149A cinc x26, x4, eq // CINV_CSINV_32_condsel 01011010100xxxxxxxxx00xxxxxxxxxx 5A925245 cinv w5, w18, mi 5A91D226 cinv w6, w17, gt 5A96D2DD cinv w29, w22, gt 5A9DA3AA cinv w10, w29, lt 5A84A08C cinv w12, w4, lt 5A905219 cinv w25, w16, mi 5A940286 cinv w6, w20, ne 5A99D336 cinv w22, w25, gt 5A8CA184 cinv w4, w12, lt 5A93927D cinv w29, w19, hi 5A9642D7 cinv w23, w22, pl 5A98C31B cinv w27, w24, le 5A88A103 cinv w3, w8, lt 5A92B256 cinv w22, w18, ge 5A92D248 cinv w8, w18, gt 5A82805B cinv w27, w2, ls // CINV_CSINV_64_condsel 11011010100xxxxxxxxx00xxxxxxxxxx DA9B5367 cinv x7, x27, mi DA815027 cinv x7, x1, mi DA87B0F6 cinv x22, x7, ge DA85C0BE cinv x30, x5, le DA84A094 cinv x20, x4, lt DA839072 cinv x18, x3, hi DA890121 cinv x1, x9, ne DA907216 cinv x22, x16, vs DA80300D cinv x13, x0, hs DA89012A cinv x10, x9, ne DA911233 cinv x19, x17, eq DA8610D0 cinv x16, x6, eq DA8AD146 cinv x6, x10, gt DA985303 cinv x3, x24, mi DA984313 cinv x19, x24, pl DA807018 cinv x24, x0, vs // CLREX_BN_barriers 11010101000000110011xxxxxxxxxxxx D5033E5F clrex #0xe D503315F clrex #0x1 D5033B5F clrex #0xb D503325F clrex #0x2 D503335F clrex #0x3 D503375F clrex #0x7 D5033D5F clrex #0xd D5033C5F clrex #0xc D503365F clrex #0x6 D503355F clrex #0x5 D503305F clrex #0x0 D503395F clrex #0x9 D5033A5F clrex #0xa D503345F clrex #0x4 D5033F5F clrex D503385F clrex #0x8 // CLS_32_dp_1src 0101101011000000000101xxxxxxxxxx 5AC01468 cls w8, w3 5AC016FD cls w29, w23 5AC016C7 cls w7, w22 5AC0148E cls w14, w4 5AC01587 cls w7, w12 5AC01430 cls w16, w1 5AC01679 cls w25, w19 5AC0158C cls w12, w12 5AC01665 cls w5, w19 5AC0146F cls w15, w3 5AC01546 cls w6, w10 5AC015E6 cls w6, w15 5AC017E5 cls w5, wzr 5AC0176D cls w13, w27 5AC0140E cls w14, w0 5AC0148F cls w15, w4 // CLS_64_dp_1src 1101101011000000000101xxxxxxxxxx DAC017F5 cls x21, xzr DAC01503 cls x3, x8 DAC0144A cls x10, x2 DAC0172A cls x10, x25 DAC017EE cls x14, xzr DAC014A9 cls x9, x5 DAC01461 cls x1, x3 DAC014BB cls x27, x5 DAC016D6 cls x22, x22 DAC01489 cls x9, x4 DAC014F8 cls x24, x7 DAC01583 cls x3, x12 DAC0145F cls xzr, x2 DAC01659 cls x25, x18 DAC016AC cls x12, x21 DAC016BB cls x27, x21 // CLS_asimdmisc_R 0x001110xx100000010010xxxxxxxxxx 4EA04AE8 cls v8.4s, v23.4s 4EA04ABC cls v28.4s, v21.4s 4E204970 cls v16.16b, v11.16b 0E604902 cls v2.4h, v8.4h 0E204AE4 cls v4.8b, v23.8b 0E604BD8 cls v24.4h, v30.4h 4EA04BED cls v13.4s, v31.4s 4EA04A2C cls v12.4s, v17.4s 4E604B38 cls v24.8h, v25.8h 4E204A14 cls v20.16b, v16.16b 4E204868 cls v8.16b, v3.16b 4EA04A65 cls v5.4s, v19.4s 4E204931 cls v17.16b, v9.16b 0EA048D2 cls v18.2s, v6.2s 4E604A02 cls v2.8h, v16.8h 0E204B5F cls v31.8b, v26.8b // CLZ_32_dp_1src 0101101011000000000100xxxxxxxxxx 5AC01059 clz w25, w2 5AC01146 clz w6, w10 5AC0120B clz w11, w16 5AC01065 clz w5, w3 5AC01348 clz w8, w26 5AC01022 clz w2, w1 5AC01365 clz w5, w27 5AC012C2 clz w2, w22 5AC01129 clz w9, w9 5AC0139D clz w29, w28 5AC011C7 clz w7, w14 5AC012F2 clz w18, w23 5AC01180 clz w0, w12 5AC0138E clz w14, w28 5AC01278 clz w24, w19 5AC01265 clz w5, w19 // CLZ_64_dp_1src 1101101011000000000100xxxxxxxxxx DAC01237 clz x23, x17 DAC011CD clz x13, x14 DAC01196 clz x22, x12 DAC01070 clz x16, x3 DAC012C0 clz x0, x22 DAC011CA clz x10, x14 DAC011E3 clz x3, x15 DAC012E5 clz x5, x23 DAC012B9 clz x25, x21 DAC01119 clz x25, x8 DAC0105C clz x28, x2 DAC011F4 clz x20, x15 DAC0135B clz x27, x26 DAC01033 clz x19, x1 DAC0118B clz x11, x12 DAC01016 clz x22, x0 // CLZ_asimdmisc_R 0x101110xx100000010010xxxxxxxxxx 2EA04B7A clz v26.2s, v27.2s 6E204907 clz v7.16b, v8.16b 6E604B9C clz v28.8h, v28.8h 6EA04A95 clz v21.4s, v20.4s 6E204912 clz v18.16b, v8.16b 6E6048C1 clz v1.8h, v6.8h 2E604983 clz v3.4h, v12.4h 2E2048E8 clz v8.8b, v7.8b 2E604B2A clz v10.4h, v25.4h 6E60495B clz v27.8h, v10.8h 2EA04964 clz v4.2s, v11.2s 6E2048F4 clz v20.16b, v7.16b 6E604965 clz v5.8h, v11.8h 6E6048F8 clz v24.8h, v7.8h 2E204B60 clz v0.8b, v27.8b 2EA04A92 clz v18.2s, v20.2s // CMEQ_asimdmisc_Z 0x001110xx10000010xxxxxxxxxxxxxx 4EA09865 cmeq v5.4s, v3.4s, #0x0 4E209BEA cmeq v10.16b, v31.16b, #0x0 4EA0983A cmeq v26.4s, v1.4s, #0x0 4E209BCA cmeq v10.16b, v30.16b, #0x0 4E20980A cmeq v10.16b, v0.16b, #0x0 0EA09974 cmeq v20.2s, v11.2s, #0x0 4E209BDF cmeq v31.16b, v30.16b, #0x0 0E2098FE cmeq v30.8b, v7.8b, #0x0 0EA098B2 cmeq v18.2s, v5.2s, #0x0 4E209A12 cmeq v18.16b, v16.16b, #0x0 0EA09B8E cmeq v14.2s, v28.2s, #0x0 4EE09BAC cmeq v12.2d, v29.2d, #0x0 4EA099A9 cmeq v9.4s, v13.4s, #0x0 4EA099BB cmeq v27.4s, v13.4s, #0x0 4E209B60 cmeq v0.16b, v27.16b, #0x0 0E609A45 cmeq v5.4h, v18.4h, #0x0 // CMEQ_asimdsame_only 0x101110xx1xxxxx100011xxxxxxxxxx 6E268FBF cmeq v31.16b, v29.16b, v6.16b 6E668C65 cmeq v5.8h, v3.8h, v6.8h 2E308E89 cmeq v9.8b, v20.8b, v16.8b 6E298C9F cmeq v31.16b, v4.16b, v9.16b 6E6A8DCF cmeq v15.8h, v14.8h, v10.8h 2EAF8CDD cmeq v29.2s, v6.2s, v15.2s 6EE58D6A cmeq v10.2d, v11.2d, v5.2d 2EB68C78 cmeq v24.2s, v3.2s, v22.2s 6E2D8C6E cmeq v14.16b, v3.16b, v13.16b 6E228F33 cmeq v19.16b, v25.16b, v2.16b 6EB48E6A cmeq v10.4s, v19.4s, v20.4s 6EA08FDE cmeq v30.4s, v30.4s, v0.4s 6E388C62 cmeq v2.16b, v3.16b, v24.16b 2E368DD3 cmeq v19.8b, v14.8b, v22.8b 6E6F8D42 cmeq v2.8h, v10.8h, v15.8h 2E368CFC cmeq v28.8b, v7.8b, v22.8b // CMEQ_asisdmisc_Z 0101111011100000100110xxxxxxxxxx 5EE0996F cmeq d15, d11, #0x0 5EE0995A cmeq d26, d10, #0x0 5EE098FD cmeq d29, d7, #0x0 5EE09B3B cmeq d27, d25, #0x0 5EE0994E cmeq d14, d10, #0x0 5EE09A11 cmeq d17, d16, #0x0 5EE09A2E cmeq d14, d17, #0x0 5EE099A9 cmeq d9, d13, #0x0 5EE099E0 cmeq d0, d15, #0x0 5EE09B50 cmeq d16, d26, #0x0 5EE09941 cmeq d1, d10, #0x0 5EE09827 cmeq d7, d1, #0x0 5EE09B7D cmeq d29, d27, #0x0 5EE09A72 cmeq d18, d19, #0x0 5EE09B95 cmeq d21, d28, #0x0 5EE09AFE cmeq d30, d23, #0x0 // CMEQ_asisdsame_only 01111110111xxxxx100011xxxxxxxxxx 7EF68E11 cmeq d17, d16, d22 7EFC8DE7 cmeq d7, d15, d28 7EE98EE1 cmeq d1, d23, d9 7EF18E55 cmeq d21, d18, d17 7EEC8DD4 cmeq d20, d14, d12 7EE78E2E cmeq d14, d17, d7 7EEA8F3D cmeq d29, d25, d10 7EF68D70 cmeq d16, d11, d22 7EE18DD8 cmeq d24, d14, d1 7EFB8DE4 cmeq d4, d15, d27 7EEA8CA1 cmeq d1, d5, d10 7EF08FE0 cmeq d0, d31, d16 7EEA8C55 cmeq d21, d2, d10 7EEE8D84 cmeq d4, d12, d14 7EEE8EEF cmeq d15, d23, d14 7EF68F79 cmeq d25, d27, d22 // CMGE_asimdmisc_Z 0x101110xx100000100010xxxxxxxxxx 6E60883B cmge v27.8h, v1.8h, #0x0 6E208B34 cmge v20.16b, v25.16b, #0x0 2EA08877 cmge v23.2s, v3.2s, #0x0 6E608A71 cmge v17.8h, v19.8h, #0x0 2E2089DA cmge v26.8b, v14.8b, #0x0 2E208A2B cmge v11.8b, v17.8b, #0x0 6E6088E9 cmge v9.8h, v7.8h, #0x0 6EA08874 cmge v20.4s, v3.4s, #0x0 6E208998 cmge v24.16b, v12.16b, #0x0 6EE08BA3 cmge v3.2d, v29.2d, #0x0 6E60898C cmge v12.8h, v12.8h, #0x0 6E608B71 cmge v17.8h, v27.8h, #0x0 2E20889F cmge v31.8b, v4.8b, #0x0 2EA088EA cmge v10.2s, v7.2s, #0x0 2E6089C4 cmge v4.4h, v14.4h, #0x0 2E60885A cmge v26.4h, v2.4h, #0x0 // CMGE_asimdsame_only 0x001110xx1xxxxx0xxxxxxxxxxxxxxx 4EEC3F22 cmge v2.2d, v25.2d, v12.2d 0EAA3C73 cmge v19.2s, v3.2s, v10.2s 0E663EE6 cmge v6.4h, v23.4h, v6.4h 0E3E3CF6 cmge v22.8b, v7.8b, v30.8b 0E283CA9 cmge v9.8b, v5.8b, v8.8b 4E7A3DC4 cmge v4.8h, v14.8h, v26.8h 4EA03FA8 cmge v8.4s, v29.4s, v0.4s 0E3D3F95 cmge v21.8b, v28.8b, v29.8b 0E6F3C12 cmge v18.4h, v0.4h, v15.4h 0EA73F3E cmge v30.2s, v25.2s, v7.2s 0EB33DB6 cmge v22.2s, v13.2s, v19.2s 4E393EBC cmge v28.16b, v21.16b, v25.16b 4EF73E4F cmge v15.2d, v18.2d, v23.2d 0EA83F91 cmge v17.2s, v28.2s, v8.2s 4E343F6B cmge v11.16b, v27.16b, v20.16b 4E333DD3 cmge v19.16b, v14.16b, v19.16b // CMGE_asisdmisc_Z 0111111011100000100010xxxxxxxxxx 7EE08B9E cmge d30, d28, #0x0 7EE08B18 cmge d24, d24, #0x0 7EE08A7D cmge d29, d19, #0x0 7EE08B1D cmge d29, d24, #0x0 7EE08934 cmge d20, d9, #0x0 7EE08AF5 cmge d21, d23, #0x0 7EE08B40 cmge d0, d26, #0x0 7EE0897A cmge d26, d11, #0x0 7EE08AD3 cmge d19, d22, #0x0 7EE0889E cmge d30, d4, #0x0 7EE08869 cmge d9, d3, #0x0 7EE08B0C cmge d12, d24, #0x0 7EE08A22 cmge d2, d17, #0x0 7EE088A5 cmge d5, d5, #0x0 7EE08B31 cmge d17, d25, #0x0 7EE08B6E cmge d14, d27, #0x0 // CMGE_asisdsame_only 01011110111xxxxx001111xxxxxxxxxx 5EE93C3F cmge d31, d1, d9 5EEB3D53 cmge d19, d10, d11 5EE73CB7 cmge d23, d5, d7 5EEA3DF9 cmge d25, d15, d10 5EEA3C1C cmge d28, d0, d10 5EF03FF3 cmge d19, d31, d16 5EEB3CE3 cmge d3, d7, d11 5EFD3D1B cmge d27, d8, d29 5EE93F89 cmge d9, d28, d9 5EEB3E1F cmge d31, d16, d11 5EFB3C43 cmge d3, d2, d27 5EF53E7A cmge d26, d19, d21 5EE43CD9 cmge d25, d6, d4 5EF03CC5 cmge d5, d6, d16 5EFE3EBF cmge d31, d21, d30 5EFD3C52 cmge d18, d2, d29 // CMGT_asimdmisc_Z 0x001110xx100000100010xxxxxxxxxx 4E208987 cmgt v7.16b, v12.16b, #0x0 0EA0893D cmgt v29.2s, v9.2s, #0x0 0E608A8A cmgt v10.4h, v20.4h, #0x0 4EA0883E cmgt v30.4s, v1.4s, #0x0 4E608B1C cmgt v28.8h, v24.8h, #0x0 4EE08849 cmgt v9.2d, v2.2d, #0x0 4E608B0A cmgt v10.8h, v24.8h, #0x0 4E208924 cmgt v4.16b, v9.16b, #0x0 0EA088CD cmgt v13.2s, v6.2s, #0x0 0EA0881E cmgt v30.2s, v0.2s, #0x0 4EA089A1 cmgt v1.4s, v13.4s, #0x0 0E60891D cmgt v29.4h, v8.4h, #0x0 4EE08966 cmgt v6.2d, v11.2d, #0x0 4EA08B07 cmgt v7.4s, v24.4s, #0x0 4E6089A3 cmgt v3.8h, v13.8h, #0x0 4E208B53 cmgt v19.16b, v26.16b, #0x0 // CMGT_asimdsame_only 0x001110xx1xxxxx0011xxxxxxxxxxxx 4EB5361B cmgt v27.4s, v16.4s, v21.4s 0EB53462 cmgt v2.2s, v3.2s, v21.2s 0E743540 cmgt v0.4h, v10.4h, v20.4h 4EF23741 cmgt v1.2d, v26.2d, v18.2d 0E6E3632 cmgt v18.4h, v17.4h, v14.4h 0E773653 cmgt v19.4h, v18.4h, v23.4h 0EA035D6 cmgt v22.2s, v14.2s, v0.2s 0E2735AF cmgt v15.8b, v13.8b, v7.8b 4E3E34F3 cmgt v19.16b, v7.16b, v30.16b 0E7F371E cmgt v30.4h, v24.4h, v31.4h 0EB23560 cmgt v0.2s, v11.2s, v18.2s 0E363473 cmgt v19.8b, v3.8b, v22.8b 4EA13486 cmgt v6.4s, v4.4s, v1.4s 4E74367D cmgt v29.8h, v19.8h, v20.8h 4EFF35D4 cmgt v20.2d, v14.2d, v31.2d 0E293524 cmgt v4.8b, v9.8b, v9.8b // CMGT_asisdmisc_Z 0101111011100000100010xxxxxxxxxx 5EE08BDE cmgt d30, d30, #0x0 5EE08B6C cmgt d12, d27, #0x0 5EE08A5E cmgt d30, d18, #0x0 5EE0891B cmgt d27, d8, #0x0 5EE08A2B cmgt d11, d17, #0x0 5EE08937 cmgt d23, d9, #0x0 5EE08978 cmgt d24, d11, #0x0 5EE08AB4 cmgt d20, d21, #0x0 5EE089A8 cmgt d8, d13, #0x0 5EE08B80 cmgt d0, d28, #0x0 5EE08AE6 cmgt d6, d23, #0x0 5EE08AF9 cmgt d25, d23, #0x0 5EE08B63 cmgt d3, d27, #0x0 5EE088CE cmgt d14, d6, #0x0 5EE08AA2 cmgt d2, d21, #0x0 5EE08800 cmgt d0, d0, #0x0 // CMGT_asisdsame_only 01011110111xxxxx001101xxxxxxxxxx 5EF837E1 cmgt d1, d31, d24 5EF93645 cmgt d5, d18, d25 5EFD34DA cmgt d26, d6, d29 5EE936C0 cmgt d0, d22, d9 5EED341F cmgt d31, d0, d13 5EF7356E cmgt d14, d11, d23 5EFF36B8 cmgt d24, d21, d31 5EE1353D cmgt d29, d9, d1 5EE536B0 cmgt d16, d21, d5 5EF63690 cmgt d16, d20, d22 5EFC3520 cmgt d0, d9, d28 5EE036AC cmgt d12, d21, d0 5EF3357B cmgt d27, d11, d19 5EF234B9 cmgt d25, d5, d18 5EF634DF cmgt d31, d6, d22 5EF035FB cmgt d27, d15, d16 // CMHI_asimdsame_only 0x101110xx1xxxxx0011xxxxxxxxxxxx 6E7B366E cmhi v14.8h, v19.8h, v27.8h 2E743775 cmhi v21.4h, v27.4h, v20.4h 6E3035D4 cmhi v20.16b, v14.16b, v16.16b 6EF735D4 cmhi v20.2d, v14.2d, v23.2d 2E7C37B8 cmhi v24.4h, v29.4h, v28.4h 6E2E3766 cmhi v6.16b, v27.16b, v14.16b 6E7137DA cmhi v26.8h, v30.8h, v17.8h 6EB03499 cmhi v25.4s, v4.4s, v16.4s 2EA736D2 cmhi v18.2s, v22.2s, v7.2s 6EBF373B cmhi v27.4s, v25.4s, v31.4s 2E2834E1 cmhi v1.8b, v7.8b, v8.8b 2E2735D6 cmhi v22.8b, v14.8b, v7.8b 2E3B34F5 cmhi v21.8b, v7.8b, v27.8b 6E3F37DA cmhi v26.16b, v30.16b, v31.16b 6EA23431 cmhi v17.4s, v1.4s, v2.4s 6EA137E1 cmhi v1.4s, v31.4s, v1.4s // CMHI_asisdsame_only 01111110111xxxxx001101xxxxxxxxxx 7EF734AB cmhi d11, d5, d23 7EE3379E cmhi d30, d28, d3 7EF836E2 cmhi d2, d23, d24 7EF2376F cmhi d15, d27, d18 7EF635CC cmhi d12, d14, d22 7EE435A7 cmhi d7, d13, d4 7EED3459 cmhi d25, d2, d13 7EE134DD cmhi d29, d6, d1 7EFA351B cmhi d27, d8, d26 7EE43721 cmhi d1, d25, d4 7EFC34C2 cmhi d2, d6, d28 7EEF3632 cmhi d18, d17, d15 7EE0358D cmhi d13, d12, d0 7EEF36F8 cmhi d24, d23, d15 7EF83714 cmhi d20, d24, d24 7EFF37C7 cmhi d7, d30, d31 // CMHS_asimdsame_only 0x101110xx1xxxxx0xxxxxxxxxxxxxxx 6EB23DC5 cmhs v5.4s, v14.4s, v18.4s 6EBB3CAF cmhs v15.4s, v5.4s, v27.4s 2E2E3CB1 cmhs v17.8b, v5.8b, v14.8b 6EAD3D53 cmhs v19.4s, v10.4s, v13.4s 2EB63D83 cmhs v3.2s, v12.2s, v22.2s 2E733DAA cmhs v10.4h, v13.4h, v19.4h 6EE63FDC cmhs v28.2d, v30.2d, v6.2d 2E273E3A cmhs v26.8b, v17.8b, v7.8b 2EA53E77 cmhs v23.2s, v19.2s, v5.2s 2EB63DFA cmhs v26.2s, v15.2s, v22.2s 6E7D3F2D cmhs v13.8h, v25.8h, v29.8h 2EBF3EEB cmhs v11.2s, v23.2s, v31.2s 6E333CB7 cmhs v23.16b, v5.16b, v19.16b 6EA73FC9 cmhs v9.4s, v30.4s, v7.4s 2E6B3EAA cmhs v10.4h, v21.4h, v11.4h 6EA83E98 cmhs v24.4s, v20.4s, v8.4s // CMHS_asisdsame_only 01111110111xxxxx001111xxxxxxxxxx 7EE93F43 cmhs d3, d26, d9 7EE03DC4 cmhs d4, d14, d0 7EFA3D27 cmhs d7, d9, d26 7EEA3D86 cmhs d6, d12, d10 7EFE3C8E cmhs d14, d4, d30 7EE43E57 cmhs d23, d18, d4 7EFB3DB7 cmhs d23, d13, d27 7EF83C41 cmhs d1, d2, d24 7EF73E83 cmhs d3, d20, d23 7EE13DD8 cmhs d24, d14, d1 7EEA3F00 cmhs d0, d24, d10 7EF03D5F cmhs d31, d10, d16 7EE43E46 cmhs d6, d18, d4 7EE73E20 cmhs d0, d17, d7 7EEA3F8B cmhs d11, d28, d10 7EE63CBB cmhs d27, d5, d6 // CMLE_asimdmisc_Z 0x101110xx10000010xxxxxxxxxxxxxx 2E609A4F cmle v15.4h, v18.4h, #0x0 6EE099B8 cmle v24.2d, v13.2d, #0x0 6E209A16 cmle v22.16b, v16.16b, #0x0 2E2098AE cmle v14.8b, v5.8b, #0x0 6E209A67 cmle v7.16b, v19.16b, #0x0 6EA0985D cmle v29.4s, v2.4s, #0x0 6EA09948 cmle v8.4s, v10.4s, #0x0 6EA09AED cmle v13.4s, v23.4s, #0x0 2E609A3A cmle v26.4h, v17.4h, #0x0 2E209A0F cmle v15.8b, v16.8b, #0x0 2E20991C cmle v28.8b, v8.8b, #0x0 6E209B18 cmle v24.16b, v24.16b, #0x0 2E209B95 cmle v21.8b, v28.8b, #0x0 2EA09A1C cmle v28.2s, v16.2s, #0x0 6E2099CC cmle v12.16b, v14.16b, #0x0 6E209ABF cmle v31.16b, v21.16b, #0x0 // CMLE_asisdmisc_Z 0111111011100000100110xxxxxxxxxx 7EE09B97 cmle d23, d28, #0x0 7EE09AF1 cmle d17, d23, #0x0 7EE099EC cmle d12, d15, #0x0 7EE098A0 cmle d0, d5, #0x0 7EE09BD8 cmle d24, d30, #0x0 7EE09A8C cmle d12, d20, #0x0 7EE09895 cmle d21, d4, #0x0 7EE099EB cmle d11, d15, #0x0 7EE099B3 cmle d19, d13, #0x0 7EE099DB cmle d27, d14, #0x0 7EE0984A cmle d10, d2, #0x0 7EE09A95 cmle d21, d20, #0x0 7EE09BCC cmle d12, d30, #0x0 7EE099C9 cmle d9, d14, #0x0 7EE09804 cmle d4, d0, #0x0 7EE09875 cmle d21, d3, #0x0 // CMLT_asimdmisc_Z 0x001110xx100000101xxxxxxxxxxxxx 0E60AAF2 cmlt v18.4h, v23.4h, #0x0 0E60AB81 cmlt v1.4h, v28.4h, #0x0 0E20AAE2 cmlt v2.8b, v23.8b, #0x0 0EA0A88E cmlt v14.2s, v4.2s, #0x0 4E20A9C4 cmlt v4.16b, v14.16b, #0x0 4E60A8C3 cmlt v3.8h, v6.8h, #0x0 4EA0ABDB cmlt v27.4s, v30.4s, #0x0 4EA0AA79 cmlt v25.4s, v19.4s, #0x0 0E60A9E8 cmlt v8.4h, v15.4h, #0x0 0E60AA92 cmlt v18.4h, v20.4h, #0x0 0EA0AA71 cmlt v17.2s, v19.2s, #0x0 0E20ABCE cmlt v14.8b, v30.8b, #0x0 0E20A945 cmlt v5.8b, v10.8b, #0x0 4EE0A8B8 cmlt v24.2d, v5.2d, #0x0 4EE0A8E1 cmlt v1.2d, v7.2d, #0x0 4E20AA2A cmlt v10.16b, v17.16b, #0x0 // CMLT_asisdmisc_Z 0101111011100000101010xxxxxxxxxx 5EE0ABAA cmlt d10, d29, #0x0 5EE0A9EC cmlt d12, d15, #0x0 5EE0A82E cmlt d14, d1, #0x0 5EE0A9F8 cmlt d24, d15, #0x0 5EE0A892 cmlt d18, d4, #0x0 5EE0A86B cmlt d11, d3, #0x0 5EE0A913 cmlt d19, d8, #0x0 5EE0ABF3 cmlt d19, d31, #0x0 5EE0A9ED cmlt d13, d15, #0x0 5EE0A871 cmlt d17, d3, #0x0 5EE0ABAE cmlt d14, d29, #0x0 5EE0AA15 cmlt d21, d16, #0x0 5EE0AB24 cmlt d4, d25, #0x0 5EE0A95C cmlt d28, d10, #0x0 5EE0A90A cmlt d10, d8, #0x0 5EE0A939 cmlt d25, d9, #0x0 // CMN_ADDS_32S_addsub_ext 00101011001xxxxxxxxxxxxxxxxxxxxx 2B3FD07F cmn w3, wzr, sxtw #0x4 2B2D4E7F cmn w19, w13, uxtw #0x3 2B2F077F cmn w27, w15, uxtb #0x1 2B37671F cmn w24, w23, uxtx #0x1 2B370E7F cmn w19, w23, uxtb #0x3 2B242D1F cmn w8, w4, uxth #0x3 2B3352FF cmn w23, w19, uxtw #0x4 2B3509DF cmn w14, w21, uxtb #0x2 2B2F64BF cmn w5, w15, uxtx #0x1 2B2F913F cmn w9, w15, sxtb #0x4 2B30709F cmn w4, w16, uxtx #0x4 2B35A45F cmn w2, w21, sxth #0x1 2B3E20FF cmn w7, w30, uxth 2B29459F cmn w12, w9, uxtw #0x1 2B368D7F cmn w11, w22, sxtb #0x3 2B3664FF cmn w7, w22, uxtx #0x1 // CMN_ADDS_32S_addsub_imm 001100010xxxxxxxxxxxxxxxxxx11111 31493CFF cmn w7, #0x24f, lsl #0xc 315BA4DF cmn w6, #0x6e9, lsl #0xc 313460BF cmn w5, #0xd18 310F6E1F cmn w16, #0x3db 3144E17F cmn w11, #0x138, lsl #0xc 317B46FF cmn w23, #0xed1, lsl #0xc 310BB1FF cmn w15, #0x2ec 311816BF cmn w21, #0x605 313AFA3F cmn w17, #0xebe 3116F19F cmn w12, #0x5bc 314DD2DF cmn w22, #0x374, lsl #0xc 3158DA9F cmn w20, #0x636, lsl #0xc 31465B3F cmn w25, #0x196, lsl #0xc 310DAA7F cmn w19, #0x36a 3128811F cmn w8, #0xa20 3138715F cmn w10, #0xe1c // CMN_ADDS_32_addsub_shift 00101011xx0xxxxxxxxxxxxxxxxxxxxx 2B97615F cmn w10, w23, asr #0x18 2B8B5F9F cmn w28, w11, asr #0x17 2B9D4D1F cmn w8, w29, asr #0x13 2B59737F cmn w27, w25, lsr #0x1c 2B88657F cmn w11, w8, asr #0x19 2B5362FF cmn w23, w19, lsr #0x18 2B0903BF cmn w29, w9 2B90521F cmn w16, w16, asr #0x14 2B134FFF cmn wzr, w19, lsl #0x13 2B1F107F cmn w3, wzr, lsl #0x4 2B4005DF cmn w14, w0, lsr #0x1 2B18577F cmn w27, w24, lsl #0x15 2B19039F cmn w28, w25 2B875ABF cmn w21, w7, asr #0x16 2B8678DF cmn w6, w6, asr #0x1e 2B4C511F cmn w8, w12, lsr #0x14 // CMN_ADDS_64S_addsub_ext 10101011001xxxxxxxxxxxxxxxxxxxxx AB25E81F cmn x0, x5, sxtx #0x2 AB23EF7F cmn x27, x3, sxtx #0x3 AB38305F cmn x2, w24, uxth #0x4 AB2B93DF cmn x30, w11, sxtb #0x4 AB2D115F cmn x10, w13, uxtb #0x4 AB37C29F cmn x20, w23, sxtw AB2CEE9F cmn x20, x12, sxtx #0x3 AB2C133F cmn x25, w12, uxtb #0x4 AB33E59F cmn x12, x19, sxtx #0x1 AB3B2C3F cmn x1, w27, uxth #0x3 AB2744DF cmn x6, w7, uxtw #0x1 AB3AA1BF cmn x13, w26, sxth AB3F83DF cmn x30, wzr, sxtb AB25249F cmn x4, w5, uxth #0x1 AB31613F cmn x9, x17, uxtx AB2F6E1F cmn x16, x15, uxtx #0x3 // CMN_ADDS_64S_addsub_imm 101100010xxxxxxxxxxxxxxxxxx11111 B11B5D3F cmn x9, #0x6d7 B150699F cmn x12, #0x41a, lsl #0xc B170933F cmn x25, #0xc24, lsl #0xc B1112E7F cmn x19, #0x44b B13BB97F cmn x11, #0xeee B1170CDF cmn x6, #0x5c3 B1377A7F cmn x19, #0xdde B168C7DF cmn x30, #0xa31, lsl #0xc B161E2FF cmn x23, #0x878, lsl #0xc B11CDC9F cmn x4, #0x737 B15A115F cmn x10, #0x684, lsl #0xc B15A27FF cmn sp, #0x689, lsl #0xc B129519F cmn x12, #0xa54 B1071D5F cmn x10, #0x1c7 B17DFE5F cmn x18, #0xf7f, lsl #0xc B16D271F cmn x24, #0xb49, lsl #0xc // CMN_ADDS_64_addsub_shift 10101011xx0xxxxxxxxxxxxxxxx11111 AB88807F cmn x3, x8, asr #0x20 AB95CCBF cmn x5, x21, asr #0x33 AB81F41F cmn x0, x1, asr #0x3d AB91A45F cmn x2, x17, asr #0x29 AB4F40BF cmn x5, x15, lsr #0x10 AB1A695F cmn x10, x26, lsl #0x1a AB03705F cmn x2, x3, lsl #0x1c AB0F569F cmn x20, x15, lsl #0x15 AB9A73DF cmn x30, x26, asr #0x1c AB1AF81F cmn x0, x26, lsl #0x3e AB11CDDF cmn x14, x17, lsl #0x33 AB02EA9F cmn x20, x2, lsl #0x3a AB58E9FF cmn x15, x24, lsr #0x3a AB92855F cmn x10, x18, asr #0x21 AB83B27F cmn x19, x3, asr #0x2c AB80061F cmn x16, x0, asr #0x1 // CMPP_SUBPS_64S_dp_2src 10111010110xxxxx000000xxxxx11111 BAC202FF subps xzr, x23, x2 BAD1005F subps xzr, x2, x17 BAD6009F subps xzr, x4, x22 BAC6015F subps xzr, x10, x6 BADF029F subps xzr, x20, sp BADE011F subps xzr, x8, x30 BAC602BF subps xzr, x21, x6 BAC3003F subps xzr, x1, x3 BACB005F subps xzr, x2, x11 BAD3029F subps xzr, x20, x19 BAD5005F subps xzr, x2, x21 BAC8007F subps xzr, x3, x8 BACC00BF subps xzr, x5, x12 BAD902BF subps xzr, x21, x25 BAD103DF subps xzr, x30, x17 BACA023F subps xzr, x17, x10 // CMP_SUBS_32S_addsub_ext 01101011001xxxxxxxxxxxxxxxxxxxxx 6B2AE13F cmp w9, w10, sxtx 6B37433F cmp w25, w23, uxtw 6B36099F cmp w12, w22, uxtb #0x2 6B306EFF cmp w23, w16, uxtx #0x3 6B3928BF cmp w5, w25, uxth #0x2 6B24C97F cmp w11, w4, sxtw #0x2 6B386D3F cmp w9, w24, uxtx #0x3 6B3EEE5F cmp w18, w30, sxtx #0x3 6B25247F cmp w3, w5, uxth #0x1 6B3FCD3F cmp w9, wzr, sxtw #0x3 6B31277F cmp w27, w17, uxth #0x1 6B28F35F cmp w26, w8, sxtx #0x4 6B212E5F cmp w18, w1, uxth #0x3 6B31861F cmp w16, w17, sxtb #0x1 6B2EF39F cmp w28, w14, sxtx #0x4 6B20089F cmp w4, w0, uxtb #0x2 // CMP_SUBS_32S_addsub_imm 011100010xxxxxxxxxxxxxxxxxx11111 716BDC7F cmp w3, #0xaf7, lsl #0xc 71204E3F cmp w17, #0x813 7108347F cmp w3, #0x20d 713945FF cmp w15, #0xe51 7143DD9F cmp w12, #0xf7, lsl #0xc 7153C31F cmp w24, #0x4f0, lsl #0xc 7129F0DF cmp w6, #0xa7c 71561AFF cmp w23, #0x586, lsl #0xc 7124B49F cmp w4, #0x92d 7174479F cmp w28, #0xd11, lsl #0xc 712293FF cmp wsp, #0x8a4 7167C61F cmp w16, #0x9f1, lsl #0xc 715D8FBF cmp w29, #0x763, lsl #0xc 71677B5F cmp w26, #0x9de, lsl #0xc 7148199F cmp w12, #0x206, lsl #0xc 712D703F cmp w1, #0xb5c // CMP_SUBS_32_addsub_shift 01101011xx0xxxxxxxxxxxxxxxxxxxxx 6B427DFF cmp w15, w2, lsr #0x1f 6B5B71FF cmp w15, w27, lsr #0x1c 6B5F7EBF cmp w21, wzr, lsr #0x1f 6B5F4CFF cmp w7, wzr, lsr #0x13 6B5821FF cmp w15, w24, lsr #0x8 6B563C3F cmp w1, w22, lsr #0xf 6B0B525F cmp w18, w11, lsl #0x14 6B4F489F cmp w4, w15, lsr #0x12 6B86263F cmp w17, w6, asr #0x9 6B1308DF cmp w6, w19, lsl #0x2 6B51401F cmp w0, w17, lsr #0x10 6B86279F cmp w28, w6, asr #0x9 6B83489F cmp w4, w3, asr #0x12 6B59243F cmp w1, w25, lsr #0x9 6B4A66BF cmp w21, w10, lsr #0x19 6B85285F cmp w2, w5, asr #0xa // CMP_SUBS_64S_addsub_ext 11101011001xxxxxxxxxxxxxxxxxxxxx EB37CB1F cmp x24, w23, sxtw #0x2 EB29729F cmp x20, x9, uxtx #0x4 EB2A61FF cmp x15, x10, uxtx EB2AE6FF cmp x23, x10, sxtx #0x1 EB2FE71F cmp x24, x15, sxtx #0x1 EB3B4C9F cmp x4, w27, uxtw #0x3 EB2350DF cmp x6, w3, uxtw #0x4 EB21C03F cmp x1, w1, sxtw EB20C8BF cmp x5, w0, sxtw #0x2 EB2DCE1F cmp x16, w13, sxtw #0x3 EB24D23F cmp x17, w4, sxtw #0x4 EB20727F cmp x19, x0, uxtx #0x4 EB220ADF cmp x22, w2, uxtb #0x2 EB2742DF cmp x22, w7, uxtw EB24AD9F cmp x12, w4, sxth #0x3 EB24E53F cmp x9, x4, sxtx #0x1 // CMP_SUBS_64S_addsub_imm 111100010xxxxxxxxxxxxxxxxxx11111 F157FC3F cmp x1, #0x5ff, lsl #0xc F17C8CBF cmp x5, #0xf23, lsl #0xc F14F6CDF cmp x6, #0x3db, lsl #0xc F12BABFF cmp sp, #0xaea F10B4DBF cmp x13, #0x2d3 F1004C3F cmp x1, #0x13 F16377DF cmp x30, #0x8dd, lsl #0xc F16F10FF cmp x7, #0xbc4, lsl #0xc F166FAFF cmp x23, #0x9be, lsl #0xc F11D2D7F cmp x11, #0x74b F15A903F cmp x1, #0x6a4, lsl #0xc F15AF15F cmp x10, #0x6bc, lsl #0xc F141581F cmp x0, #0x56, lsl #0xc F1153E5F cmp x18, #0x54f F16F6D5F cmp x10, #0xbdb, lsl #0xc F12B0FBF cmp x29, #0xac3 // CMP_SUBS_64_addsub_shift 11101011xx0xxxxxxxxxxxxxxxx11111 EB8030BF cmp x5, x0, asr #0xc EB05691F cmp x8, x5, lsl #0x1a EB4DE8BF cmp x5, x13, lsr #0x3a EB8E3B9F cmp x28, x14, asr #0xe EB59AEBF cmp x21, x25, lsr #0x2b EB99E4FF cmp x7, x25, asr #0x39 EB8EAE1F cmp x16, x14, asr #0x2b EB8C27BF cmp x29, x12, asr #0x9 EB1019BF cmp x13, x16, lsl #0x6 EB09E0BF cmp x5, x9, lsl #0x38 EB4C0C7F cmp x3, x12, lsr #0x3 EB1FCAFF cmp x23, xzr, lsl #0x32 EB1A857F cmp x11, x26, lsl #0x21 EB11C83F cmp x1, x17, lsl #0x32 EB0D4D1F cmp x8, x13, lsl #0x13 EB93701F cmp x0, x19, asr #0x1c // CMTST_asimdsame_only 0x001110xx1xxxxx100xxxxxxxxxxxxx 4EAC8C9F cmtst v31.4s, v4.4s, v12.4s 0EAC8FED cmtst v13.2s, v31.2s, v12.2s 0EB98C19 cmtst v25.2s, v0.2s, v25.2s 4E658C0E cmtst v14.8h, v0.8h, v5.8h 0E6A8DEB cmtst v11.4h, v15.4h, v10.4h 4EA58C5E cmtst v30.4s, v2.4s, v5.4s 4E6A8D3F cmtst v31.8h, v9.8h, v10.8h 4EE88FD4 cmtst v20.2d, v30.2d, v8.2d 4EE68F17 cmtst v23.2d, v24.2d, v6.2d 0E3C8F75 cmtst v21.8b, v27.8b, v28.8b 4EBE8D26 cmtst v6.4s, v9.4s, v30.4s 4EA28D60 cmtst v0.4s, v11.4s, v2.4s 4EAA8ED9 cmtst v25.4s, v22.4s, v10.4s 4EFB8FC1 cmtst v1.2d, v30.2d, v27.2d 4EF38EDD cmtst v29.2d, v22.2d, v19.2d 0E658DDF cmtst v31.4h, v14.4h, v5.4h // CMTST_asisdsame_only 01011110111xxxxx100xxxxxxxxxxxxx 5EF78D58 cmtst d24, d10, d23 5EEA8D53 cmtst d19, d10, d10 5EFE8C80 cmtst d0, d4, d30 5EF48F97 cmtst d23, d28, d20 5EF08E2C cmtst d12, d17, d16 5EF08FC3 cmtst d3, d30, d16 5EE88CD5 cmtst d21, d6, d8 5EE38C97 cmtst d23, d4, d3 5EF58DB0 cmtst d16, d13, d21 5EE58C05 cmtst d5, d0, d5 5EE78EAB cmtst d11, d21, d7 5EF18DA9 cmtst d9, d13, d17 5EFD8E79 cmtst d25, d19, d29 5EEC8F25 cmtst d5, d25, d12 5EF78F8C cmtst d12, d28, d23 5EFF8CF0 cmtst d16, d7, d31 // CNEG_CSNEG_32_condsel 01011010100xxxxxxxxx01xxxxxxxxxx 5A9C2788 cneg w8, w28, lo 5A9566B5 cneg w21, w21, vc 5A8674CB cneg w11, w6, vs 5A9C6789 cneg w9, w28, vc 5A917632 cneg w18, w17, vs 5A808409 cneg w9, w0, ls 5A91B63F cneg wzr, w17, ge 5A99D720 cneg w0, w25, gt 5A8744F5 cneg w21, w7, pl 5A8564A9 cneg w9, w5, vc 5A8D35B5 cneg w21, w13, hs 5A9DB7A5 cneg w5, w29, ge 5A8694D8 cneg w24, w6, hi 5A93667E cneg w30, w19, vc 5A88B505 cneg w5, w8, ge 5A9C178E cneg w14, w28, eq // CNEG_CSNEG_64_condsel 11011010100xxxxxxxxx01xxxxxxxxxx DA9E97D1 cneg x17, x30, hi DA85A4BA cneg x26, x5, lt DA99C735 cneg x21, x25, le DA818423 cneg x3, x1, ls DA885506 cneg x6, x8, mi DA92D65B cneg x27, x18, gt DA997735 cneg x21, x25, vs DA814431 cneg x17, x1, pl DA9E97C6 cneg x6, x30, hi DA89352C cneg x12, x9, hs DA833475 cneg x21, x3, hs DA8614D2 cneg x18, x6, eq DA8754E2 cneg x2, x7, mi DA9B8771 cneg x17, x27, ls DA8E25CE cneg x14, x14, lo DA9F37F4 cneg x20, xzr, hs // CNT_asimdmisc_R 0x00111000100000010110xxxxxxxxxx 4E205973 cnt v19.16b, v11.16b 0E2058D6 cnt v22.8b, v6.8b 0E205BC5 cnt v5.8b, v30.8b 4E205835 cnt v21.16b, v1.16b 0E20599F cnt v31.8b, v12.8b 4E205A22 cnt v2.16b, v17.16b 4E205B19 cnt v25.16b, v24.16b 0E205AF3 cnt v19.8b, v23.8b 0E205917 cnt v23.8b, v8.8b 0E2058F4 cnt v20.8b, v7.8b 4E205A4A cnt v10.16b, v18.16b 4E2059D9 cnt v25.16b, v14.16b 0E205A31 cnt v17.8b, v17.8b 0E2059AE cnt v14.8b, v13.8b 4E2058E1 cnt v1.16b, v7.16b 0E205804 cnt v4.8b, v0.8b // CPP_SYS_CR_systeminstrs 110101010000101101110011111xxxxx D50B73F0 cpp rctx, x16 D50B73E6 cpp rctx, x6 D50B73FB cpp rctx, x27 D50B73F6 cpp rctx, x22 D50B73E2 cpp rctx, x2 D50B73F1 cpp rctx, x17 D50B73F5 cpp rctx, x21 D50B73EE cpp rctx, x14 D50B73EB cpp rctx, x11 D50B73EA cpp rctx, x10 D50B73F4 cpp rctx, x20 D50B73F3 cpp rctx, x19 D50B73FF cpp rctx, xzr D50B73E9 cpp rctx, x9 D50B73FE cpp rctx, x30 D50B73F9 cpp rctx, x25 // CRC32B_32C_dp_2src 00011010110xxxxx010000xxxxxxxxxx 1AC74347 crc32b w7, w26, w7 1AC3437B crc32b w27, w27, w3 1ACE4114 crc32b w20, w8, w14 1AC6405A crc32b w26, w2, w6 1AC54379 crc32b w25, w27, w5 1AC8431D crc32b w29, w24, w8 1AD6429E crc32b w30, w20, w22 1AC342F5 crc32b w21, w23, w3 1ADF40AA crc32b w10, w5, wzr 1AC6407E crc32b w30, w3, w6 1ACF401B crc32b w27, w0, w15 1AC04063 crc32b w3, w3, w0 1AD8414F crc32b w15, w10, w24 1ADA4078 crc32b w24, w3, w26 1AD34013 crc32b w19, w0, w19 1AC34115 crc32b w21, w8, w3 // CRC32CB_32C_dp_2src 00011010110xxxxx010100xxxxxxxxxx 1AC450BC crc32cb w28, w5, w4 1AC851EE crc32cb w14, w15, w8 1AD950C7 crc32cb w7, w6, w25 1AD653D2 crc32cb w18, w30, w22 1AC35006 crc32cb w6, w0, w3 1AD4533B crc32cb w27, w25, w20 1AD050D8 crc32cb w24, w6, w16 1AD650DE crc32cb w30, w6, w22 1AD1536A crc32cb w10, w27, w17 1AD9523E crc32cb w30, w17, w25 1AC5506E crc32cb w14, w3, w5 1ACF53D8 crc32cb w24, w30, w15 1ADC5374 crc32cb w20, w27, w28 1ACE518E crc32cb w14, w12, w14 1AC450D0 crc32cb w16, w6, w4 1ACA52D7 crc32cb w23, w22, w10 // CRC32CH_32C_dp_2src 00011010110xxxxx010101xxxxxxxxxx 1ADA57F7 crc32ch w23, wzr, w26 1AC05757 crc32ch w23, w26, w0 1ADF55B6 crc32ch w22, w13, wzr 1ACB5764 crc32ch w4, w27, w11 1ADB55FA crc32ch w26, w15, w27 1ACE5597 crc32ch w23, w12, w14 1AC05610 crc32ch w16, w16, w0 1AD35499 crc32ch w25, w4, w19 1AD757E2 crc32ch w2, wzr, w23 1AC957E2 crc32ch w2, wzr, w9 1AC554DF crc32ch wzr, w6, w5 1AC955CC crc32ch w12, w14, w9 1ADB547F crc32ch wzr, w3, w27 1AC556A4 crc32ch w4, w21, w5 1AC6569D crc32ch w29, w20, w6 1AC257C8 crc32ch w8, w30, w2 // CRC32CW_32C_dp_2src 00011010110xxxxx010110xxxxxxxxxx 1AC859BA crc32cw w26, w13, w8 1ADE5B81 crc32cw w1, w28, w30 1ACC5B20 crc32cw w0, w25, w12 1AC25AFA crc32cw w26, w23, w2 1ACD5864 crc32cw w4, w3, w13 1ADB5BA8 crc32cw w8, w29, w27 1AC15BF6 crc32cw w22, wzr, w1 1AC85B48 crc32cw w8, w26, w8 1ADA58C9 crc32cw w9, w6, w26 1ADD5916 crc32cw w22, w8, w29 1AD25BC8 crc32cw w8, w30, w18 1AC95938 crc32cw w24, w9, w9 1ADD581B crc32cw w27, w0, w29 1AD25B88 crc32cw w8, w28, w18 1AC75A8C crc32cw w12, w20, w7 1AC45933 crc32cw w19, w9, w4 // CRC32CX_64C_dp_2src 10011010110xxxxx010111xxxxxxxxxx 9ACC5CD8 crc32cx w24, w6, x12 9ACB5EAF crc32cx w15, w21, x11 9ADD5EDE crc32cx w30, w22, x29 9ACD5CCA crc32cx w10, w6, x13 9AD95D52 crc32cx w18, w10, x25 9ADA5FC8 crc32cx w8, w30, x26 9ACC5DB5 crc32cx w21, w13, x12 9ADD5E51 crc32cx w17, w18, x29 9ACA5F86 crc32cx w6, w28, x10 9ACF5D53 crc32cx w19, w10, x15 9AC95D17 crc32cx w23, w8, x9 9AD85D46 crc32cx w6, w10, x24 9ADC5C04 crc32cx w4, w0, x28 9AC05EAE crc32cx w14, w21, x0 9AC85D5F crc32cx wzr, w10, x8 9ADB5E92 crc32cx w18, w20, x27 // CRC32H_32C_dp_2src 00011010110xxxxx010001xxxxxxxxxx 1AD544C5 crc32h w5, w6, w21 1ADD4413 crc32h w19, w0, w29 1ACD453E crc32h w30, w9, w13 1ACB4474 crc32h w20, w3, w11 1AC8464A crc32h w10, w18, w8 1ACE44A6 crc32h w6, w5, w14 1ACE47D9 crc32h w25, w30, w14 1AD54799 crc32h w25, w28, w21 1AD64504 crc32h w4, w8, w22 1ADD44CA crc32h w10, w6, w29 1ACB4738 crc32h w24, w25, w11 1AD4475C crc32h w28, w26, w20 1AD9463B crc32h w27, w17, w25 1AD747EB crc32h w11, wzr, w23 1AC34526 crc32h w6, w9, w3 1AC144D5 crc32h w21, w6, w1 // CRC32W_32C_dp_2src 00011010110xxxxx010010xxxxxxxxxx 1AD64800 crc32w w0, w0, w22 1ACB4AAB crc32w w11, w21, w11 1AD54BBA crc32w w26, w29, w21 1ADC4BBC crc32w w28, w29, w28 1ADF4BDE crc32w w30, w30, wzr 1ACF49AE crc32w w14, w13, w15 1AC24B08 crc32w w8, w24, w2 1AD14B00 crc32w w0, w24, w17 1ADB48E5 crc32w w5, w7, w27 1AD84B12 crc32w w18, w24, w24 1ADA4AF5 crc32w w21, w23, w26 1AD1490C crc32w w12, w8, w17 1AD24B37 crc32w w23, w25, w18 1AC74B5E crc32w w30, w26, w7 1AC44A89 crc32w w9, w20, w4 1ACC497C crc32w w28, w11, w12 // CRC32X_64C_dp_2src 10011010110xxxxx010011xxxxxxxxxx 9AC24E71 crc32x w17, w19, x2 9AC54C9E crc32x w30, w4, x5 9AD54E35 crc32x w21, w17, x21 9ACE4C74 crc32x w20, w3, x14 9AD64DC7 crc32x w7, w14, x22 9AD04CC4 crc32x w4, w6, x16 9AD44C2B crc32x w11, w1, x20 9AD74E9D crc32x w29, w20, x23 9ADE4C50 crc32x w16, w2, x30 9AD94FB2 crc32x w18, w29, x25 9ADE4D1E crc32x w30, w8, x30 9ACC4D97 crc32x w23, w12, x12 9AD94FF7 crc32x w23, wzr, x25 9AD04D48 crc32x w8, w10, x16 9AD04D96 crc32x w22, w12, x16 9ACB4CDD crc32x w29, w6, x11 // CSDB_HI_hints 11010101000000110010001xxxxxxxxx D503229F csdb // CSEL_32_condsel 00011010100xxxxxxxxx00xxxxxxxxxx 1A876370 csel w16, w27, w7, vs 1A8E2057 csel w23, w2, w14, hs 1A9BA330 csel w16, w25, w27, ge 1A8691DE csel w30, w14, w6, ls 1A9E03D6 csel w22, w30, w30, eq 1A94A315 csel w21, w24, w20, ge 1A8F4269 csel w9, w19, w15, mi 1A9690CE csel w14, w6, w22, ls 1A85F18B csel w11, w12, w5, nv 1A97E323 csel w3, w25, w23, al 1A86331F csel wzr, w24, w6, lo 1A9950A2 csel w2, w5, w25, pl 1A8CD039 csel w25, w1, w12, le 1A95F1C3 csel w3, w14, w21, nv 1A91B090 csel w16, w4, w17, lt 1A960139 csel w25, w9, w22, eq // CSEL_64_condsel 10011010100xxxxxxxxx00xxxxxxxxxx 9A95C0C0 csel x0, x6, x21, gt 9A8793D3 csel x19, x30, x7, ls 9A80524B csel x11, x18, x0, pl 9A805389 csel x9, x28, x0, pl 9A8142BB csel x27, x21, x1, mi 9A8100E1 csel x1, x7, x1, eq 9A9DE0DF csel xzr, x6, x29, al 9A854044 csel x4, x2, x5, mi 9A906130 csel x16, x9, x16, vs 9A937128 csel x8, x9, x19, vc 9A8A41AF csel x15, x13, x10, mi 9A9F90FA csel x26, x7, xzr, ls 9A89C075 csel x21, x3, x9, gt 9A9A0265 csel x5, x19, x26, eq 9A8783C5 csel x5, x30, x7, hi 9A878183 csel x3, x12, x7, hi // CSETM_CSINV_32_condsel 0101101010011111xxxx0011111xxxxx 5A9F53EE csetm w14, mi 5A9F13FF csetm wzr, eq 5A9F93E9 csetm w9, hi 5A9F73F3 csetm w19, vs 5A9F63E5 csetm w5, vc 5A9F83EF csetm w15, ls 5A9FD3EE csetm w14, gt 5A9F73FC csetm w28, vs 5A9F43E9 csetm w9, pl 5A9FC3EB csetm w11, le 5A9F43E0 csetm w0, pl 5A9F23E4 csetm w4, lo 5A9F13FB csetm w27, eq 5A9FA3F0 csetm w16, lt 5A9F83FC csetm w28, ls 5A9F13EE csetm w14, eq // CSETM_CSINV_64_condsel 1101101010011111xxxx0011111xxxxx DA9F53E5 csetm x5, mi DA9F43F0 csetm x16, pl DA9FD3E5 csetm x5, gt DA9F73F7 csetm x23, vs DA9FC3FA csetm x26, le DA9FD3EA csetm x10, gt DA9FD3FE csetm x30, gt DA9FD3F5 csetm x21, gt DA9FB3EC csetm x12, ge DA9F03E4 csetm x4, ne DA9F03E0 csetm x0, ne DA9F33EF csetm x15, hs DA9FA3F8 csetm x24, lt DA9F93F7 csetm x23, hi DA9F63E5 csetm x5, vc DA9F53FC csetm x28, mi // CSET_CSINC_32_condsel 0001101010011111xxxx0111111xxxxx 1A9F17F1 cset w17, eq 1A9F07F6 cset w22, ne 1A9FB7F9 cset w25, ge 1A9FC7E8 cset w8, le 1A9FB7EB cset w11, ge 1A9F37E3 cset w3, hs 1A9F47EF cset w15, pl 1A9F67E0 cset w0, vc 1A9F07F2 cset w18, ne 1A9FB7E4 cset w4, ge 1A9FD7F6 cset w22, gt 1A9F67F9 cset w25, vc 1A9F57E4 cset w4, mi 1A9F37F9 cset w25, hs 1A9F07EB cset w11, ne 1A9F47F3 cset w19, pl // CSET_CSINC_64_condsel 1001101010011111xxxx0111111xxxxx 9A9F97E4 cset x4, hi 9A9FA7EE cset x14, lt 9A9F77EA cset x10, vs 9A9FB7FA cset x26, ge 9A9FA7E1 cset x1, lt 9A9FB7FB cset x27, ge 9A9FD7F6 cset x22, gt 9A9F47F7 cset x23, pl 9A9F47F0 cset x16, pl 9A9FC7F3 cset x19, le 9A9F87E9 cset x9, ls 9A9F27FC cset x28, lo 9A9FA7FA cset x26, lt 9A9FD7F8 cset x24, gt 9A9F27FB cset x27, lo 9A9F77EE cset x14, vs // CSINC_32_condsel 00011010100xxxxxxxxx01xxxxxxxxxx 1A9E3422 csinc w2, w1, w30, lo 1A9834FF csinc wzr, w7, w24, lo 1A9E65FE csinc w30, w15, w30, vs 1A8644EB csinc w11, w7, w6, mi 1A86756F csinc w15, w11, w6, vc 1A98F469 csinc w9, w3, w24, nv 1A99346E csinc w14, w3, w25, lo 1A8D7643 csinc w3, w18, w13, vc 1A99944D csinc w13, w2, w25, ls 1A82C5D1 csinc w17, w14, w2, gt 1A86B68E csinc w14, w20, w6, lt 1A8DE69D csinc w29, w20, w13, al 1A8BE677 csinc w23, w19, w11, al 1A9785BD csinc w29, w13, w23, hi 1A8305CC csinc w12, w14, w3, eq 1A9FE44D csinc w13, w2, wzr, al // CSINC_64_condsel 10011010100xxxxxxxxx01xxxxxxxxxx 9A98456D csinc x13, x11, x24, mi 9A99048B csinc x11, x4, x25, eq 9A8927E3 csinc x3, xzr, x9, hs 9A844777 csinc x23, x27, x4, mi 9A93846E csinc x14, x3, x19, hi 9A990515 csinc x21, x8, x25, eq 9A9B0510 csinc x16, x8, x27, eq 9A8926B8 csinc x24, x21, x9, hs 9A8237F7 csinc x23, xzr, x2, lo 9A9FB525 csinc x5, x9, xzr, lt 9A958456 csinc x22, x2, x21, hi 9A8957E9 csinc x9, xzr, x9, pl 9A877572 csinc x18, x11, x7, vc 9A989699 csinc x25, x20, x24, ls 9A91B7DD csinc x29, x30, x17, lt 9A99742F csinc x15, x1, x25, vc // CSINV_32_condsel 01011010100xxxxxxxxx00xxxxxxxxxx 5A8292BF csinv wzr, w21, w2, ls 5A87B0A0 csinv w0, w5, w7, lt 5A9ED37D csinv w29, w27, w30, le 5A816207 csinv w7, w16, w1, vs 5A87D108 csinv w8, w8, w7, le 5A844148 csinv w8, w10, w4, mi 5A8DE370 csinv w16, w27, w13, al 5A8F83ED csinv w13, wzr, w15, hi 5A8062EE csinv w14, w23, w0, vs 5A85A2D9 csinv w25, w22, w5, ge 5A822077 csinv w23, w3, w2, hs 5A88C38E csinv w14, w28, w8, gt 5A9890EC csinv w12, w7, w24, ls 5A9F4363 csinv w3, w27, wzr, mi 5A86E3EE csinv w14, wzr, w6, al 5A80F278 csinv w24, w19, w0, nv // CSINV_64_condsel 11011010100xxxxxxxxx00xxxxxxxxxx DA9DC15B csinv x27, x10, x29, gt DA8EA3D9 csinv x25, x30, x14, ge DA831235 csinv x21, x17, x3, ne DA9291B9 csinv x25, x13, x18, ls DA82C1B1 csinv x17, x13, x2, gt DA93922A csinv x10, x17, x19, ls DA99D111 csinv x17, x8, x25, le DA945217 csinv x23, x16, x20, pl DA87F2DF csinv xzr, x22, x7, nv DA9253C7 csinv x7, x30, x18, pl DA85311A csinv x26, x8, x5, lo DA9C9016 csinv x22, x0, x28, ls DA96C2B5 csinv x21, x21, x22, gt DA8DE015 csinv x21, x0, x13, al DA8393D5 csinv x21, x30, x3, ls DA8B4295 csinv x21, x20, x11, mi // CSNEG_32_condsel 01011010100xxxxxxxxx01xxxxxxxxxx 5A8964F3 csneg w19, w7, w9, vs 5A8BD707 csneg w7, w24, w11, le 5A88C79D csneg w29, w28, w8, gt 5A8F651C csneg w28, w8, w15, vs 5A8B147E csneg w30, w3, w11, ne 5A9915BA csneg w26, w13, w25, ne 5A9E6419 csneg w25, w0, w30, vs 5A94949D csneg w29, w4, w20, ls 5A9CB412 csneg w18, w0, w28, lt 5A9F767A csneg w26, w19, wzr, vc 5A8D07C5 csneg w5, w30, w13, eq 5A9B9792 csneg w18, w28, w27, ls 5A94E4D6 csneg w22, w6, w20, al 5A8E4759 csneg w25, w26, w14, mi 5A8AB456 csneg w22, w2, w10, lt 5A9D877C csneg w28, w27, w29, hi // CSNEG_64_condsel 11011010100xxxxxxxxx01xxxxxxxxxx DA8015C4 csneg x4, x14, x0, ne DA99D791 csneg x17, x28, x25, le DA8677E5 csneg x5, xzr, x6, vc DA9984AD csneg x13, x5, x25, hi DA9C9590 csneg x16, x12, x28, ls DA8CD543 csneg x3, x10, x12, le DA83C6A5 csneg x5, x21, x3, gt DA818460 csneg x0, x3, x1, hi DA80E748 csneg x8, x26, x0, al DA9A255C csneg x28, x10, x26, hs DA8D166A csneg x10, x19, x13, ne DA987745 csneg x5, x26, x24, vc DA897514 csneg x20, x8, x9, vc DA8366CB csneg x11, x22, x3, vs DA87E6A0 csneg x0, x21, x7, al DA8A95E3 csneg x3, x15, x10, ls // DCPS1_DC_exception 11010100101xxxxxxxxxxxxxxxx00001 D4A9E481 dcps1 #0x4f24 D4AEFCC1 dcps1 #0x77e6 D4BA21E1 dcps1 #0xd10f D4B627E1 dcps1 #0xb13f D4A366E1 dcps1 #0x1b37 D4A50641 dcps1 #0x2832 D4A8BCA1 dcps1 #0x45e5 D4BC0F01 dcps1 #0xe078 D4BC8A21 dcps1 #0xe451 D4BB31A1 dcps1 #0xd98d D4B33CA1 dcps1 #0x99e5 D4A08DC1 dcps1 #0x46e D4A57001 dcps1 #0x2b80 D4A11121 dcps1 #0x889 D4A92EC1 dcps1 #0x4976 D4BAAB01 dcps1 #0xd558 // DCPS2_DC_exception 11010100101xxxxxxxxxxxxxxxx00010 D4B70FA2 dcps2 #0xb87d D4A987E2 dcps2 #0x4c3f D4BDF762 dcps2 #0xefbb D4B850C2 dcps2 #0xc286 D4A52642 dcps2 #0x2932 D4A329A2 dcps2 #0x194d D4AD8D62 dcps2 #0x6c6b D4BC1D22 dcps2 #0xe0e9 D4BE83E2 dcps2 #0xf41f D4A0BFC2 dcps2 #0x5fe D4A27D42 dcps2 #0x13ea D4B52222 dcps2 #0xa911 D4B92B42 dcps2 #0xc95a D4B822E2 dcps2 #0xc117 D4AF0E62 dcps2 #0x7873 D4B0BAA2 dcps2 #0x85d5 // DCPS3_DC_exception 11010100101xxxxxxxxxxxxxxxx00011 D4A4FDA3 dcps3 #0x27ed D4AE57E3 dcps3 #0x72bf D4A378E3 dcps3 #0x1bc7 D4B64B43 dcps3 #0xb25a D4A9B943 dcps3 #0x4dca D4ACFC03 dcps3 #0x67e0 D4A07D03 dcps3 #0x3e8 D4B92643 dcps3 #0xc932 D4B5E283 dcps3 #0xaf14 D4BDE6C3 dcps3 #0xef36 D4AE4343 dcps3 #0x721a D4BD9383 dcps3 #0xec9c D4A8E423 dcps3 #0x4721 D4BA1CC3 dcps3 #0xd0e6 D4B8ED43 dcps3 #0xc76a D4AF88E3 dcps3 #0x7c47 // DC_SYS_CR_systeminstrs 1101010100001xxx0111xxxxxxxxxxxx D50F7696 sys #0x7, c7, c6, #0x4, x22 D50E73F6 sys #0x6, c7, c3, #0x7, x22 D50C7C9B sys #0x4, c7, c12, #0x4, x27 D509761F sys #0x1, c7, c6, #0x0 D50B7E8B sys #0x3, c7, c14, #0x4, x11 D50D7F30 sys #0x5, c7, c15, #0x1, x16 D5087242 sys #0x0, c7, c2, #0x2, x2 D50C7B27 sys #0x4, c7, c11, #0x1, x7 D50F7679 sys #0x7, c7, c6, #0x3, x25 D50D77F2 sys #0x5, c7, c7, #0x7, x18 D50B7797 sys #0x3, c7, c7, #0x4, x23 D50F7106 sys #0x7, c7, c1, #0x0, x6 D50B7EDE sys #0x3, c7, c14, #0x6, x30 D50E7A71 sys #0x6, c7, c10, #0x3, x17 D509751B sys #0x1, c7, c5, #0x0, x27 D5087222 sys #0x0, c7, c2, #0x1, x2 // DGH_HI_hints 11010101000000110010000011xxxxxx D50320DF hint #0x6 // DMB_BO_barriers 11010101000000110011xxxx1xxxxxxx D50335BF dmb nshld D5033FBF dmb sy D50336BF dmb nshst D50339BF dmb ishld D50333BF dmb osh D50330BF dmb #0x0 D50332BF dmb oshst D5033EBF dmb st D50337BF dmb nsh D50334BF dmb #0x4 D5033CBF dmb #0xc D50331BF dmb oshld D5033ABF dmb ishst D5033DBF dmb ld D5033BBF dmb ish D50338BF dmb #0x8 // DSB_BO_barriers 11010101000000110011xxxx10xxxxxx D503369F dsb nshst D5033F9F dsb sy D5033D9F dsb ld D503339F dsb osh D5033E9F dsb st D503359F dsb nshld D503399F dsb ishld D503389F dsb #0x8 D5033A9F dsb ishst D5033C9F dsb #0xc D503379F dsb nsh D503319F dsb oshld D503329F dsb oshst D5033B9F dsb ish // DUP_asimdins_DR_r 0x001110000xxxxx000011xxxxxxxxxx 4E010E3D dup v29.16b, w17 4E030D41 dup v1.16b, w10 4E150FAA dup v10.16b, w29 4E150FC7 dup v7.16b, w30 0E070D84 dup v4.8b, w12 0E020D78 dup v24.4h, w11 4E0E0C52 dup v18.8h, w2 4E0A0C7B dup v27.8h, w3 4E180ECD dup v13.2d, x22 0E1F0C85 dup v5.8b, w4 4E1D0DE9 dup v9.16b, w15 4E180D37 dup v23.2d, x9 4E050F49 dup v9.16b, w26 4E140D84 dup v4.4s, w12 0E1E0CB1 dup v17.4h, w5 0E060E14 dup v20.4h, w16 // EON_32_log_shift 01001010xx1xxxxxxxxxxxxxxxxxxxxx 4A2753ED eon w13, wzr, w7, lsl #0x14 4A6B69B7 eon w23, w13, w11, lsr #0x1a 4AA1390F eon w15, w8, w1, asr #0xe 4A277770 eon w16, w27, w7, lsl #0x1d 4A6A4A6E eon w14, w19, w10, lsr #0x12 4A6D7C35 eon w21, w1, w13, lsr #0x1f 4A62441B eon w27, w0, w2, lsr #0x11 4A2A5E1D eon w29, w16, w10, lsl #0x17 4AFE6A05 eon w5, w16, w30, ror #0x1a 4AEE1D88 eon w8, w12, w14, ror #0x7 4A6469FD eon w29, w15, w4, lsr #0x1a 4A6F1B02 eon w2, w24, w15, lsr #0x6 4ABB4898 eon w24, w4, w27, asr #0x12 4A3E5908 eon w8, w8, w30, lsl #0x16 4A693DA5 eon w5, w13, w9, lsr #0xf 4AB330A3 eon w3, w5, w19, asr #0xc // EON_64_log_shift 11001010xx1xxxxxxxxxxxxxxxxxxxxx CA23A7A9 eon x9, x29, x3, lsl #0x29 CA7CA1DE eon x30, x14, x28, lsr #0x28 CA71A32C eon x12, x25, x17, lsr #0x28 CA2439D2 eon x18, x14, x4, lsl #0xe CA724F8D eon x13, x28, x18, lsr #0x13 CA270D33 eon x19, x9, x7, lsl #0x3 CAE5D5FF eon xzr, x15, x5, ror #0x35 CA2C706C eon x12, x3, x12, lsl #0x1c CA3EE004 eon x4, x0, x30, lsl #0x38 CA6BEBC9 eon x9, x30, x11, lsr #0x3a CA7B4020 eon x0, x1, x27, lsr #0x10 CAB35F4F eon x15, x26, x19, asr #0x17 CA6563DB eon x27, x30, x5, lsr #0x18 CA21CD44 eon x4, x10, x1, lsl #0x33 CA3749DE eon x30, x14, x23, lsl #0x12 CA66B84C eon x12, x2, x6, lsr #0x2e // EOR3_VVV16_crypto4 11001110000xxxxxxxxxxxxxxxxxxxxx CE0B75E2 eor3 v2.16b, v15.16b, v11.16b, v29.16b CE1923BA eor3 v26.16b, v29.16b, v25.16b, v8.16b CE150503 eor3 v3.16b, v8.16b, v21.16b, v1.16b CE0A2297 eor3 v23.16b, v20.16b, v10.16b, v8.16b CE094FE1 eor3 v1.16b, v31.16b, v9.16b, v19.16b CE021531 eor3 v17.16b, v9.16b, v2.16b, v5.16b CE1305FF eor3 v31.16b, v15.16b, v19.16b, v1.16b CE1E7306 eor3 v6.16b, v24.16b, v30.16b, v28.16b CE035463 eor3 v3.16b, v3.16b, v3.16b, v21.16b CE1E71FE eor3 v30.16b, v15.16b, v30.16b, v28.16b CE0A1EE1 eor3 v1.16b, v23.16b, v10.16b, v7.16b CE165594 eor3 v20.16b, v12.16b, v22.16b, v21.16b CE182E77 eor3 v23.16b, v19.16b, v24.16b, v11.16b CE1F1779 eor3 v25.16b, v27.16b, v31.16b, v5.16b CE0A1359 eor3 v25.16b, v26.16b, v10.16b, v4.16b CE08448D eor3 v13.16b, v4.16b, v8.16b, v17.16b // EOR_32_log_imm 0101001000xxxxxxxxxxxxxxxxxxxxxx 521DE0B9 eor w25, w5, #0x88888888 5218DA07 eor w7, w16, #0x7f7f7f7f 5217B989 eor w9, w12, #0xfefffeff 5238D2E8 eor w8, w23, #0x1f1f1f1f 521F3402 eor w2, w0, #0x7ffe 520C3414 eor w20, w0, #0xfff00003 522ED34E eor w14, w26, #0x7c7c7c7c 52258A65 eor w5, w19, #0x38003800 520B1856 eor w22, w2, #0xfe00000 522184CD eor w13, w6, #0x80018001 521E1AFA eor w26, w23, #0x1fc 5217D408 eor w8, w0, #0x7e7e7e7e 5225776E eor w14, w27, #0xf9ffffff 5228E6EC eor w12, w23, #0x33333333 520B3423 eor w3, w1, #0xffe00007 5208AC4F eor w15, w2, #0xff0fff0f // EOR_32_log_shift 01001010xx0xxxxxxxxxxxxxxxxxxxxx 4A8F6B59 eor w25, w26, w15, asr #0x1a 4ADD44FF eor wzr, w7, w29, ror #0x11 4A541351 eor w17, w26, w20, lsr #0x4 4A8A1345 eor w5, w26, w10, asr #0x4 4A1E56C7 eor w7, w22, w30, lsl #0x15 4A4E058C eor w12, w12, w14, lsr #0x1 4AC163E0 eor w0, wzr, w1, ror #0x18 4AC25A3B eor w27, w17, w2, ror #0x16 4A5B1335 eor w21, w25, w27, lsr #0x4 4A43742B eor w11, w1, w3, lsr #0x1d 4A0E5F42 eor w2, w26, w14, lsl #0x17 4AD53953 eor w19, w10, w21, ror #0xe 4A053EB0 eor w16, w21, w5, lsl #0xf 4A8702D9 eor w25, w22, w7, asr #0x0 4A8A1F07 eor w7, w24, w10, asr #0x7 4A5372CF eor w15, w22, w19, lsr #0x1c // EOR_64_log_imm 110100100xxxxxxxxxxxxxxxxxxxxxxx D26B355B eor x27, x10, #0x7ffe00000 D269A3AB eor x11, x29, #0xffffffffff800000 D2300BA3 eor x3, x29, #0x7000000070000 D248712E eor x14, x9, #0xff000000001fffff D25637A8 eor x8, x29, #0xfffc0000000000 D255B399 eor x25, x28, #0xfffff80000ffffff D245C423 eor x3, x1, #0xf8001fffffffffff D242022B eor x11, x17, #0x4000000000000000 D2529D88 eor x8, x12, #0xffffc000003fffff D2720B48 eor x8, x26, #0x1c000 D272419D eor x29, x12, #0x7fffc000 D2372321 eor x1, x25, #0x3fe000003fe00 D24F9A54 eor x20, x18, #0xfffe000000ffffff D215C766 eor x6, x27, #0x1818181818181818 D2162894 eor x20, x4, #0x1ffc00001ffc00 D21A6B78 eor x24, x27, #0xffffffc1ffffffc1 // EOR_64_log_shift 11001010xx0xxxxxxxxxxxxxxxxxxxxx CA5BFAF0 eor x16, x23, x27, lsr #0x3e CA460304 eor x4, x24, x6, lsr #0x0 CA4842EC eor x12, x23, x8, lsr #0x10 CA13E575 eor x21, x11, x19, lsl #0x39 CADD1EDB eor x27, x22, x29, ror #0x7 CA99B43D eor x29, x1, x25, asr #0x2d CA587AE8 eor x8, x23, x24, lsr #0x1e CA08037C eor x28, x27, x8 CADEA515 eor x21, x8, x30, ror #0x29 CA00F321 eor x1, x25, x0, lsl #0x3c CAC308D8 eor x24, x6, x3, ror #0x2 CA53DB00 eor x0, x24, x19, lsr #0x36 CAC5923A eor x26, x17, x5, ror #0x24 CAC08F40 eor x0, x26, x0, ror #0x23 CA436F7F eor xzr, x27, x3, lsr #0x1b CA18E186 eor x6, x12, x24, lsl #0x38 // EOR_asimdsame_only 0x101110001xxxxx000111xxxxxxxxxx 6E271F90 eor v16.16b, v28.16b, v7.16b 6E281C62 eor v2.16b, v3.16b, v8.16b 6E271D4C eor v12.16b, v10.16b, v7.16b 6E2C1DFD eor v29.16b, v15.16b, v12.16b 6E321E39 eor v25.16b, v17.16b, v18.16b 2E311E18 eor v24.8b, v16.8b, v17.8b 2E351E1C eor v28.8b, v16.8b, v21.8b 2E301CC9 eor v9.8b, v6.8b, v16.8b 2E371DE5 eor v5.8b, v15.8b, v23.8b 2E261F77 eor v23.8b, v27.8b, v6.8b 6E3F1EC4 eor v4.16b, v22.16b, v31.16b 6E371E92 eor v18.16b, v20.16b, v23.16b 2E271C99 eor v25.8b, v4.8b, v7.8b 6E2A1CF7 eor v23.16b, v7.16b, v10.16b 6E311C81 eor v1.16b, v4.16b, v17.16b 2E201C0E eor v14.8b, v0.8b, v0.8b // ESB_HI_hints 11010101000000110010001000xxxxxx D503221F esb // EXTR_32_extract 00010011100xxxxx0xxxxxxxxxxxxxxx 138A0BD2 extr w18, w30, w10, #0x2 139817B5 extr w21, w29, w24, #0x5 13875D5E extr w30, w10, w7, #0x17 139E0CF2 extr w18, w7, w30, #0x3 1383561E extr w30, w16, w3, #0x15 13880ED2 extr w18, w22, w8, #0x3 13882B47 extr w7, w26, w8, #0xa 138D26F0 extr w16, w23, w13, #0x9 138C6694 extr w20, w20, w12, #0x19 13943E60 extr w0, w19, w20, #0xf 139B218F extr w15, w12, w27, #0x8 138F0738 extr w24, w25, w15, #0x1 13817ECA extr w10, w22, w1, #0x1f 13913C47 extr w7, w2, w17, #0xf 1380520E extr w14, w16, w0, #0x14 1395423D extr w29, w17, w21, #0x10 // EXTR_64_extract 10010011110xxxxxxxxxxxxxxxxxxxxx 93DF0796 extr x22, x28, xzr, #0x1 93D0FBD8 extr x24, x30, x16, #0x3e 93DBA207 extr x7, x16, x27, #0x28 93CC07FB extr x27, xzr, x12, #0x1 93DF4D0C extr x12, x8, xzr, #0x13 93D5ECD7 extr x23, x6, x21, #0x3b 93C7FA56 extr x22, x18, x7, #0x3e 93DF0AD7 extr x23, x22, xzr, #0x2 93CEDCA5 extr x5, x5, x14, #0x37 93C88F30 extr x16, x25, x8, #0x23 93C85BE5 extr x5, xzr, x8, #0x16 93D68E95 extr x21, x20, x22, #0x23 93C40245 extr x5, x18, x4, #0x0 93D6A830 extr x16, x1, x22, #0x2a 93DA4D9D extr x29, x12, x26, #0x13 93C53311 extr x17, x24, x5, #0xc // EXT_asimdext_only 0x101110000xxxxx0xxxx0xxxxxxxxxx 2E1221F9 ext v25.8b, v15.8b, v18.8b, #0x4 2E1602A2 ext v2.8b, v21.8b, v22.8b, #0x0 6E080B29 ext v9.16b, v25.16b, v8.16b, #0x1 6E013A39 ext v25.16b, v17.16b, v1.16b, #0x7 6E0E0B76 ext v22.16b, v27.16b, v14.16b, #0x1 6E127ACC ext v12.16b, v22.16b, v18.16b, #0xf 2E06220A ext v10.8b, v16.8b, v6.8b, #0x4 6E183A4C ext v12.16b, v18.16b, v24.16b, #0x7 6E1450E5 ext v5.16b, v7.16b, v20.16b, #0xa 6E07297E ext v30.16b, v11.16b, v7.16b, #0x5 6E177080 ext v0.16b, v4.16b, v23.16b, #0xe 6E1930FD ext v29.16b, v7.16b, v25.16b, #0x6 6E093954 ext v20.16b, v10.16b, v9.16b, #0x7 6E142348 ext v8.16b, v26.16b, v20.16b, #0x4 6E0A029F ext v31.16b, v20.16b, v10.16b, #0x0 6E1C71BE ext v30.16b, v13.16b, v28.16b, #0xe // FABD_asimdsame_only 0x1011101x1xxxxx110101xxxxxxxxxx 6EA1D645 fabd v5.4s, v18.4s, v1.4s 6EFDD5B4 fabd v20.2d, v13.2d, v29.2d 6EF4D7C9 fabd v9.2d, v30.2d, v20.2d 6EB0D438 fabd v24.4s, v1.4s, v16.4s 2EB6D5AF fabd v15.2s, v13.2s, v22.2s 2EA9D4BD fabd v29.2s, v5.2s, v9.2s 6EFCD43B fabd v27.2d, v1.2d, v28.2d 2EAED6DB fabd v27.2s, v22.2s, v14.2s 6EE3D7B2 fabd v18.2d, v29.2d, v3.2d 2EBED723 fabd v3.2s, v25.2s, v30.2s 6EA7D791 fabd v17.4s, v28.4s, v7.4s 6EF7D639 fabd v25.2d, v17.2d, v23.2d 6EE1D520 fabd v0.2d, v9.2d, v1.2d 6EEAD5FC fabd v28.2d, v15.2d, v10.2d 6EF3D693 fabd v19.2d, v20.2d, v19.2d 2EB3D7DB fabd v27.2s, v30.2s, v19.2s // FABD_asimdsamefp16_only 0x101110110xxxxx000101xxxxxxxxxx 6ED21776 fabd v22.8h, v27.8h, v18.8h 6ED415E5 fabd v5.8h, v15.8h, v20.8h 6EC7179F fabd v31.8h, v28.8h, v7.8h 6EDA145C fabd v28.8h, v2.8h, v26.8h 6ED51697 fabd v23.8h, v20.8h, v21.8h 6ED316E2 fabd v2.8h, v23.8h, v19.8h 2EDD15F5 fabd v21.4h, v15.4h, v29.4h 2ED315A9 fabd v9.4h, v13.4h, v19.4h 6EDF1633 fabd v19.8h, v17.8h, v31.8h 2ED5179F fabd v31.4h, v28.4h, v21.4h 6EC0149B fabd v27.8h, v4.8h, v0.8h 6EC0155C fabd v28.8h, v10.8h, v0.8h 2EDA1749 fabd v9.4h, v26.4h, v26.4h 6EC21413 fabd v19.8h, v0.8h, v2.8h 6EC4178F fabd v15.8h, v28.8h, v4.8h 6ED11419 fabd v25.8h, v0.8h, v17.8h // FABD_asisdsame_only 011111101x1xxxxx110101xxxxxxxxxx 7EAAD4C0 fabd s0, s6, s10 7EA2D62C fabd s12, s17, s2 7EA8D72A fabd s10, s25, s8 7EFFD4D9 fabd d25, d6, d31 7EFCD505 fabd d5, d8, d28 7EF7D7A9 fabd d9, d29, d23 7EA3D411 fabd s17, s0, s3 7EF3D4DF fabd d31, d6, d19 7EFCD509 fabd d9, d8, d28 7EF6D59D fabd d29, d12, d22 7EA7D78A fabd s10, s28, s7 7EAED4CC fabd s12, s6, s14 7EE3D670 fabd d16, d19, d3 7EAAD7DE fabd s30, s30, s10 7EE1D477 fabd d23, d3, d1 7EF3D742 fabd d2, d26, d19 // FABD_asisdsamefp16_only 01111110110xxxxx000101xxxxxxxxxx 7EC51429 fabd h9, h1, h5 7ED116B8 fabd h24, h21, h17 7EC916DC fabd h28, h22, h9 7EDC1640 fabd h0, h18, h28 7EDD17C0 fabd h0, h30, h29 7EDC16AA fabd h10, h21, h28 7EC7163D fabd h29, h17, h7 7EC115A5 fabd h5, h13, h1 7ED1145F fabd h31, h2, h17 7EC6153B fabd h27, h9, h6 7EC0141A fabd h26, h0, h0 7ED61494 fabd h20, h4, h22 7ED516FA fabd h26, h23, h21 7ED016F2 fabd h18, h23, h16 7ED5151D fabd h29, h8, h21 7EC715C8 fabd h8, h14, h7 // FABS_D_floatdp1 0001111001100000110000xxxxxxxxxx 1E60C00F fabs d15, d0 1E60C38D fabs d13, d28 1E60C3EE fabs d14, d31 1E60C2E8 fabs d8, d23 1E60C35A fabs d26, d26 1E60C003 fabs d3, d0 1E60C39C fabs d28, d28 1E60C223 fabs d3, d17 1E60C00D fabs d13, d0 1E60C0A6 fabs d6, d5 1E60C02B fabs d11, d1 1E60C2C4 fabs d4, d22 1E60C1F1 fabs d17, d15 1E60C397 fabs d23, d28 1E60C29E fabs d30, d20 1E60C0D1 fabs d17, d6 // FABS_H_floatdp1 0001111011100000110000xxxxxxxxxx 1EE0C35A fabs h26, h26 1EE0C39A fabs h26, h28 1EE0C2E6 fabs h6, h23 1EE0C09A fabs h26, h4 1EE0C056 fabs h22, h2 1EE0C366 fabs h6, h27 1EE0C096 fabs h22, h4 1EE0C131 fabs h17, h9 1EE0C207 fabs h7, h16 1EE0C34A fabs h10, h26 1EE0C257 fabs h23, h18 1EE0C012 fabs h18, h0 1EE0C37B fabs h27, h27 1EE0C1DB fabs h27, h14 1EE0C379 fabs h25, h27 1EE0C0DF fabs h31, h6 // FABS_S_floatdp1 0001111000100000110000xxxxxxxxxx 1E20C2CF fabs s15, s22 1E20C04A fabs s10, s2 1E20C349 fabs s9, s26 1E20C023 fabs s3, s1 1E20C0B4 fabs s20, s5 1E20C23E fabs s30, s17 1E20C309 fabs s9, s24 1E20C0F0 fabs s16, s7 1E20C3AD fabs s13, s29 1E20C1A8 fabs s8, s13 1E20C29A fabs s26, s20 1E20C33A fabs s26, s25 1E20C3C0 fabs s0, s30 1E20C3E9 fabs s9, s31 1E20C257 fabs s23, s18 1E20C1AF fabs s15, s13 // FABS_asimdmisc_R 0x0011101x100000111110xxxxxxxxxx 4EA0F87E fabs v30.4s, v3.4s 0EA0FABE fabs v30.2s, v21.2s 4EE0FBED fabs v13.2d, v31.2d 4EA0FB94 fabs v20.4s, v28.4s 4EA0F8F4 fabs v20.4s, v7.4s 4EE0FBAE fabs v14.2d, v29.2d 4EA0F8A0 fabs v0.4s, v5.4s 4EA0FAEA fabs v10.4s, v23.4s 4EE0F97C fabs v28.2d, v11.2d 4EE0FB37 fabs v23.2d, v25.2d 4EE0F9C0 fabs v0.2d, v14.2d 0EA0F84C fabs v12.2s, v2.2s 4EA0FAA2 fabs v2.4s, v21.4s 0EA0FA59 fabs v25.2s, v18.2s 4EE0FB33 fabs v19.2d, v25.2d 4EA0FAF0 fabs v16.4s, v23.4s // FABS_asimdmiscfp16_R 0x0011101111100xxxxxxxxxxxxxxxxx 4EF8F857 fabs v23.8h, v2.8h 4EF8F999 fabs v25.8h, v12.8h 0EF8FA1B fabs v27.4h, v16.4h 4EF8FAD4 fabs v20.8h, v22.8h 4EF8F920 fabs v0.8h, v9.8h 4EF8F950 fabs v16.8h, v10.8h 0EF8FBCC fabs v12.4h, v30.4h 4EF8FADA fabs v26.8h, v22.8h 4EF8FA9B fabs v27.8h, v20.8h 0EF8FAC9 fabs v9.4h, v22.4h 4EF8FBE8 fabs v8.8h, v31.8h 4EF8FBCD fabs v13.8h, v30.8h 4EF8FB53 fabs v19.8h, v26.8h 0EF8F8B7 fabs v23.4h, v5.4h 0EF8FB53 fabs v19.4h, v26.4h 0EF8FA63 fabs v3.4h, v19.4h // FACGE_asimdsame_only 0x1011100x1xxxxx111011xxxxxxxxxx 6E7CEFC9 facge v9.2d, v30.2d, v28.2d 2E3BEE3E facge v30.2s, v17.2s, v27.2s 6E7BEF9B facge v27.2d, v28.2d, v27.2d 6E73ECC5 facge v5.2d, v6.2d, v19.2d 6E64EE6A facge v10.2d, v19.2d, v4.2d 6E71ED9B facge v27.2d, v12.2d, v17.2d 6E66ECAB facge v11.2d, v5.2d, v6.2d 2E24EE5B facge v27.2s, v18.2s, v4.2s 6E66ED55 facge v21.2d, v10.2d, v6.2d 2E39EF11 facge v17.2s, v24.2s, v25.2s 2E3FEE80 facge v0.2s, v20.2s, v31.2s 6E3FEE51 facge v17.4s, v18.4s, v31.4s 6E28EC53 facge v19.4s, v2.4s, v8.4s 6E3CED30 facge v16.4s, v9.4s, v28.4s 2E2AEC0A facge v10.2s, v0.2s, v10.2s 2E20EFAC facge v12.2s, v29.2s, v0.2s // FACGE_asimdsamefp16_only 0x101110010xxxxx001011xxxxxxxxxx 2E4A2C42 facge v2.4h, v2.4h, v10.4h 6E4C2C36 facge v22.8h, v1.8h, v12.8h 6E4E2E4A facge v10.8h, v18.8h, v14.8h 2E4B2D7A facge v26.4h, v11.4h, v11.4h 6E5C2FE5 facge v5.8h, v31.8h, v28.8h 6E5B2C45 facge v5.8h, v2.8h, v27.8h 2E4F2F8C facge v12.4h, v28.4h, v15.4h 2E552DBD facge v29.4h, v13.4h, v21.4h 6E5B2D81 facge v1.8h, v12.8h, v27.8h 2E5D2CBF facge v31.4h, v5.4h, v29.4h 2E5C2EB8 facge v24.4h, v21.4h, v28.4h 6E572FBE facge v30.8h, v29.8h, v23.8h 6E592E2B facge v11.8h, v17.8h, v25.8h 6E5E2EFA facge v26.8h, v23.8h, v30.8h 6E4C2CB2 facge v18.8h, v5.8h, v12.8h 6E5A2DD7 facge v23.8h, v14.8h, v26.8h // FACGE_asisdsame_only 011111100x1xxxxx111011xxxxxxxxxx 7E26EF9A facge s26, s28, s6 7E25EE1E facge s30, s16, s5 7E32EFB8 facge s24, s29, s18 7E31EDB6 facge s22, s13, s17 7E7DEFC3 facge d3, d30, d29 7E23ECF1 facge s17, s7, s3 7E7CEECA facge d10, d22, d28 7E29EC18 facge s24, s0, s9 7E3FEFC2 facge s2, s30, s31 7E60EE45 facge d5, d18, d0 7E70EF36 facge d22, d25, d16 7E68EDB3 facge d19, d13, d8 7E7FEFF6 facge d22, d31, d31 7E6AEEE6 facge d6, d23, d10 7E70EF85 facge d5, d28, d16 7E76EE0C facge d12, d16, d22 // FACGE_asisdsamefp16_only 01111110010xxxxx001011xxxxxxxxxx 7E592CBD facge h29, h5, h25 7E5F2DDF facge h31, h14, h31 7E502D77 facge h23, h11, h16 7E562D26 facge h6, h9, h22 7E402F13 facge h19, h24, h0 7E5E2C7A facge h26, h3, h30 7E532CCF facge h15, h6, h19 7E502EF2 facge h18, h23, h16 7E582EC2 facge h2, h22, h24 7E542D97 facge h23, h12, h20 7E4B2F17 facge h23, h24, h11 7E412D37 facge h23, h9, h1 7E582CB3 facge h19, h5, h24 7E4F2E61 facge h1, h19, h15 7E402D5B facge h27, h10, h0 7E402E84 facge h4, h20, h0 // FACGT_asimdsame_only 0x1011101x1xxxxx111011xxxxxxxxxx 2EBDEDEA facgt v10.2s, v15.2s, v29.2s 6EB6EF71 facgt v17.4s, v27.4s, v22.4s 2EB8EC05 facgt v5.2s, v0.2s, v24.2s 6EF5EC1D facgt v29.2d, v0.2d, v21.2d 6EABED5A facgt v26.4s, v10.4s, v11.4s 2EACEF16 facgt v22.2s, v24.2s, v12.2s 6EF3EE4A facgt v10.2d, v18.2d, v19.2d 2EB0EDA1 facgt v1.2s, v13.2s, v16.2s 2EB1EC8E facgt v14.2s, v4.2s, v17.2s 6EA1EE8A facgt v10.4s, v20.4s, v1.4s 2EAFEE04 facgt v4.2s, v16.2s, v15.2s 6EEDEDC9 facgt v9.2d, v14.2d, v13.2d 6EEEEC83 facgt v3.2d, v4.2d, v14.2d 6EE1EFB2 facgt v18.2d, v29.2d, v1.2d 2EA2ECBD facgt v29.2s, v5.2s, v2.2s 6EE9ED4B facgt v11.2d, v10.2d, v9.2d // FACGT_asimdsamefp16_only 0x101110110xxxxx001011xxxxxxxxxx 2EDF2C0E facgt v14.4h, v0.4h, v31.4h 2EDB2FAE facgt v14.4h, v29.4h, v27.4h 6EDE2DE5 facgt v5.8h, v15.8h, v30.8h 2EC72D46 facgt v6.4h, v10.4h, v7.4h 6ED22F69 facgt v9.8h, v27.8h, v18.8h 2EDA2E67 facgt v7.4h, v19.4h, v26.4h 6ECC2FF9 facgt v25.8h, v31.8h, v12.8h 6EC52E4E facgt v14.8h, v18.8h, v5.8h 6ED72DC0 facgt v0.8h, v14.8h, v23.8h 6ED02EC5 facgt v5.8h, v22.8h, v16.8h 2EDF2C35 facgt v21.4h, v1.4h, v31.4h 6EC72F07 facgt v7.8h, v24.8h, v7.8h 6ED42FCC facgt v12.8h, v30.8h, v20.8h 6EC52EF6 facgt v22.8h, v23.8h, v5.8h 2ED72D93 facgt v19.4h, v12.4h, v23.4h 2ECF2D93 facgt v19.4h, v12.4h, v15.4h // FACGT_asisdsame_only 011111101x1xxxxx111011xxxxxxxxxx 7EBCEC5A facgt s26, s2, s28 7EA4EC56 facgt s22, s2, s4 7EE1ED09 facgt d9, d8, d1 7EFBEECF facgt d15, d22, d27 7EF8ED3A facgt d26, d9, d24 7EF9ED40 facgt d0, d10, d25 7EE3EEB5 facgt d21, d21, d3 7EFAEFD2 facgt d18, d30, d26 7EEEEDBD facgt d29, d13, d14 7EF1EEC3 facgt d3, d22, d17 7EF4EF34 facgt d20, d25, d20 7EB1EE79 facgt s25, s19, s17 7EABEF12 facgt s18, s24, s11 7EE3EE6D facgt d13, d19, d3 7EA7EC8C facgt s12, s4, s7 7EF5EC42 facgt d2, d2, d21 // FACGT_asisdsamefp16_only 01111110110xxxxx001011xxxxxxxxxx 7ED62E06 facgt h6, h16, h22 7EDB2C0C facgt h12, h0, h27 7ED42F7E facgt h30, h27, h20 7ED12F4F facgt h15, h26, h17 7ED02E14 facgt h20, h16, h16 7EDC2D4F facgt h15, h10, h28 7ECF2F18 facgt h24, h24, h15 7EC02FC5 facgt h5, h30, h0 7ECE2EFC facgt h28, h23, h14 7EC32CEA facgt h10, h7, h3 7ECA2DB6 facgt h22, h13, h10 7ECA2C84 facgt h4, h4, h10 7EC02DDE facgt h30, h14, h0 7ED42F17 facgt h23, h24, h20 7ECC2D27 facgt h7, h9, h12 7ECC2D06 facgt h6, h8, h12 // FADDP_asimdsame_only 0x1011100x1xxxxx110101xxxxxxxxxx 6E2AD435 faddp v21.4s, v1.4s, v10.4s 6E2FD43F faddp v31.4s, v1.4s, v15.4s 6E25D61C faddp v28.4s, v16.4s, v5.4s 6E62D4A7 faddp v7.2d, v5.2d, v2.2d 6E77D4C9 faddp v9.2d, v6.2d, v23.2d 2E3CD7E8 faddp v8.2s, v31.2s, v28.2s 6E60D573 faddp v19.2d, v11.2d, v0.2d 2E37D48F faddp v15.2s, v4.2s, v23.2s 6E64D7EE faddp v14.2d, v31.2d, v4.2d 6E6AD60C faddp v12.2d, v16.2d, v10.2d 6E2BD4A8 faddp v8.4s, v5.4s, v11.4s 6E25D424 faddp v4.4s, v1.4s, v5.4s 6E2BD5D9 faddp v25.4s, v14.4s, v11.4s 6E78D7DA faddp v26.2d, v30.2d, v24.2d 6E60D4BE faddp v30.2d, v5.2d, v0.2d 6E36D75F faddp v31.4s, v26.4s, v22.4s // FADDP_asimdsamefp16_only 0x101110010xxxxx000101xxxxxxxxxx 6E5B1769 faddp v9.8h, v27.8h, v27.8h 6E5915FD faddp v29.8h, v15.8h, v25.8h 6E581691 faddp v17.8h, v20.8h, v24.8h 6E5916C7 faddp v7.8h, v22.8h, v25.8h 6E581659 faddp v25.8h, v18.8h, v24.8h 2E4F1592 faddp v18.4h, v12.4h, v15.4h 6E4C1799 faddp v25.8h, v28.8h, v12.8h 6E5917FA faddp v26.8h, v31.8h, v25.8h 6E4014E4 faddp v4.8h, v7.8h, v0.8h 2E571585 faddp v5.4h, v12.4h, v23.4h 2E491475 faddp v21.4h, v3.4h, v9.4h 2E531596 faddp v22.4h, v12.4h, v19.4h 2E5416EB faddp v11.4h, v23.4h, v20.4h 6E4216DF faddp v31.8h, v22.8h, v2.8h 2E4D14AD faddp v13.4h, v5.4h, v13.4h 6E481465 faddp v5.8h, v3.8h, v8.8h // FADDP_asisdpair_only_H 0101111000110000110110xxxxxxxxxx 5E30DBF5 faddp h21, v31.2h 5E30DBE3 faddp h3, v31.2h 5E30D8F7 faddp h23, v7.2h 5E30DA25 faddp h5, v17.2h 5E30D9AA faddp h10, v13.2h 5E30DACA faddp h10, v22.2h 5E30DA1A faddp h26, v16.2h 5E30D829 faddp h9, v1.2h 5E30DB0B faddp h11, v24.2h 5E30D9B0 faddp h16, v13.2h 5E30D823 faddp h3, v1.2h 5E30DAE9 faddp h9, v23.2h 5E30DA01 faddp h1, v16.2h 5E30DB49 faddp h9, v26.2h 5E30D8AB faddp h11, v5.2h 5E30DA6D faddp h13, v19.2h // FADDP_asisdpair_only_SD 011111100x110000110110xxxxxxxxxx 7E70DB3D faddp d29, v25.2d 7E70DA06 faddp d6, v16.2d 7E30D91A faddp s26, v8.2s 7E70D8EF faddp d15, v7.2d 7E70D8D6 faddp d22, v6.2d 7E70D9BA faddp d26, v13.2d 7E30D843 faddp s3, v2.2s 7E30DA19 faddp s25, v16.2s 7E70D807 faddp d7, v0.2d 7E70DAC2 faddp d2, v22.2d 7E30D809 faddp s9, v0.2s 7E30D87F faddp s31, v3.2s 7E70DB0F faddp d15, v24.2d 7E70DB11 faddp d17, v24.2d 7E30D9DE faddp s30, v14.2s 7E70DBB3 faddp d19, v29.2d // FADD_D_floatdp2 00011110011xxxxx001010xxxxxxxxxx 1E72293E fadd d30, d9, d18 1E7929F7 fadd d23, d15, d25 1E6429CA fadd d10, d14, d4 1E6A2984 fadd d4, d12, d10 1E7D2B72 fadd d18, d27, d29 1E742915 fadd d21, d8, d20 1E6528FB fadd d27, d7, d5 1E672930 fadd d16, d9, d7 1E662A40 fadd d0, d18, d6 1E7828A7 fadd d7, d5, d24 1E71298B fadd d11, d12, d17 1E692A73 fadd d19, d19, d9 1E682912 fadd d18, d8, d8 1E692BCC fadd d12, d30, d9 1E7C294A fadd d10, d10, d28 1E6F2941 fadd d1, d10, d15 // FADD_H_floatdp2 00011110111xxxxx001010xxxxxxxxxx 1EED2AF8 fadd h24, h23, h13 1EFE2831 fadd h17, h1, h30 1EFA2804 fadd h4, h0, h26 1EE22B99 fadd h25, h28, h2 1EE02B80 fadd h0, h28, h0 1EF92B08 fadd h8, h24, h25 1EEA292D fadd h13, h9, h10 1EF628CE fadd h14, h6, h22 1EE42874 fadd h20, h3, h4 1EFD2BD3 fadd h19, h30, h29 1EF92836 fadd h22, h1, h25 1EF42B74 fadd h20, h27, h20 1EE529E5 fadd h5, h15, h5 1EF2295F fadd h31, h10, h18 1EF22AAD fadd h13, h21, h18 1EFD29B8 fadd h24, h13, h29 // FADD_S_floatdp2 00011110001xxxxx001010xxxxxxxxxx 1E3F2A72 fadd s18, s19, s31 1E2E285E fadd s30, s2, s14 1E202AD9 fadd s25, s22, s0 1E272BAF fadd s15, s29, s7 1E3C2A70 fadd s16, s19, s28 1E3D28F2 fadd s18, s7, s29 1E3A2909 fadd s9, s8, s26 1E2C2892 fadd s18, s4, s12 1E2529AA fadd s10, s13, s5 1E362BE2 fadd s2, s31, s22 1E282860 fadd s0, s3, s8 1E21289A fadd s26, s4, s1 1E242977 fadd s23, s11, s4 1E292B96 fadd s22, s28, s9 1E292980 fadd s0, s12, s9 1E282822 fadd s2, s1, s8 // FADD_asimdsame_only 0x0011100x1xxxxx110101xxxxxxxxxx 0E3BD5F9 fadd v25.2s, v15.2s, v27.2s 0E35D5FA fadd v26.2s, v15.2s, v21.2s 4E63D541 fadd v1.2d, v10.2d, v3.2d 4E30D49D fadd v29.4s, v4.4s, v16.4s 4E70D651 fadd v17.2d, v18.2d, v16.2d 0E27D7E0 fadd v0.2s, v31.2s, v7.2s 4E75D64B fadd v11.2d, v18.2d, v21.2d 4E77D4D6 fadd v22.2d, v6.2d, v23.2d 4E3BD438 fadd v24.4s, v1.4s, v27.4s 4E29D434 fadd v20.4s, v1.4s, v9.4s 4E6CD795 fadd v21.2d, v28.2d, v12.2d 4E3CD666 fadd v6.4s, v19.4s, v28.4s 4E32D6AB fadd v11.4s, v21.4s, v18.4s 4E70D59D fadd v29.2d, v12.2d, v16.2d 0E30D79C fadd v28.2s, v28.2s, v16.2s 4E20D4BE fadd v30.4s, v5.4s, v0.4s // FADD_asimdsamefp16_only 0x001110010xxxxx000101xxxxxxxxxx 4E501695 fadd v21.8h, v20.8h, v16.8h 4E471556 fadd v22.8h, v10.8h, v7.8h 0E521616 fadd v22.4h, v16.4h, v18.4h 4E5B1640 fadd v0.8h, v18.8h, v27.8h 4E5B141F fadd v31.8h, v0.8h, v27.8h 4E521603 fadd v3.8h, v16.8h, v18.8h 4E401486 fadd v6.8h, v4.8h, v0.8h 4E5B1545 fadd v5.8h, v10.8h, v27.8h 0E441443 fadd v3.4h, v2.4h, v4.4h 4E4917E4 fadd v4.8h, v31.8h, v9.8h 4E4B16E5 fadd v5.8h, v23.8h, v11.8h 4E45179E fadd v30.8h, v28.8h, v5.8h 4E4F167F fadd v31.8h, v19.8h, v15.8h 0E551497 fadd v23.4h, v4.4h, v21.4h 4E4016FE fadd v30.8h, v23.8h, v0.8h 4E401403 fadd v3.8h, v0.8h, v0.8h // FCADD_asimdsame2_C 0x101110xx0xxxxx111x01xxxxxxxxxx 6E47E437 fcadd v23.8h, v1.8h, v7.8h, #0x5a 2E94E715 fcadd v21.2s, v24.2s, v20.2s, #0x5a 2E90F7F8 fcadd v24.2s, v31.2s, v16.2s, #0x10e 6EDEF7A0 fcadd v0.2d, v29.2d, v30.2d, #0x10e 6EC9E490 fcadd v16.2d, v4.2d, v9.2d, #0x5a 6EDAF58F fcadd v15.2d, v12.2d, v26.2d, #0x10e 6ED0F70F fcadd v15.2d, v24.2d, v16.2d, #0x10e 6E5EE7ED fcadd v13.8h, v31.8h, v30.8h, #0x5a 6ED8F647 fcadd v7.2d, v18.2d, v24.2d, #0x10e 6E84E564 fcadd v4.4s, v11.4s, v4.4s, #0x5a 2E45E497 fcadd v23.4h, v4.4h, v5.4h, #0x5a 6E9DF6F0 fcadd v16.4s, v23.4s, v29.4s, #0x10e 6EC0E4A1 fcadd v1.2d, v5.2d, v0.2d, #0x5a 2E41F717 fcadd v23.4h, v24.4h, v1.4h, #0x10e 2E81F492 fcadd v18.2s, v4.2s, v1.2s, #0x10e 2E8CE55B fcadd v27.2s, v10.2s, v12.2s, #0x5a // FCCMPE_D_floatccmp 00011110011xxxxxxxxx01xxxxx1xxxx 1E743417 fccmpe d0, d20, #0x7, lo 1E60D7B8 fccmpe d29, d0, #0x8, le 1E635511 fccmpe d8, d3, #0x1, pl 1E7246B4 fccmpe d21, d18, #0x4, mi 1E7185DB fccmpe d14, d17, #0xb, hi 1E63C7D2 fccmpe d30, d3, #0x2, gt 1E7C475D fccmpe d26, d28, #0xd, mi 1E7F969C fccmpe d20, d31, #0xc, ls 1E6C97B0 fccmpe d29, d12, #0x0, ls 1E79B610 fccmpe d16, d25, #0x0, lt 1E6A367C fccmpe d19, d10, #0xc, lo 1E615498 fccmpe d4, d1, #0x8, pl 1E74C551 fccmpe d10, d20, #0x1, gt 1E668414 fccmpe d0, d6, #0x4, hi 1E6994F8 fccmpe d7, d9, #0x8, ls 1E6837BC fccmpe d29, d8, #0xc, lo // FCCMPE_H_floatccmp 00011110111xxxxxxxxx01xxxxx1xxxx 1EE114B1 fccmpe h5, h1, #0x1, ne 1EF60415 fccmpe h0, h22, #0x5, eq 1EFDE611 fccmpe h16, h29, #0x1, al 1EF40576 fccmpe h11, h20, #0x6, eq 1EEF2775 fccmpe h27, h15, #0x5, hs 1EF33458 fccmpe h2, h19, #0x8, lo 1EE74730 fccmpe h25, h7, #0x0, mi 1EFE17BC fccmpe h29, h30, #0xc, ne 1EF36759 fccmpe h26, h19, #0x9, vs 1EE5C557 fccmpe h10, h5, #0x7, gt 1EE244B9 fccmpe h5, h2, #0x9, mi 1EF136BD fccmpe h21, h17, #0xd, lo 1EE957B7 fccmpe h29, h9, #0x7, pl 1EE57499 fccmpe h4, h5, #0x9, vc 1EF6E578 fccmpe h11, h22, #0x8, al 1EF6D69C fccmpe h20, h22, #0xc, le // FCCMPE_S_floatccmp 00011110001xxxxxxxxx01xxxxx1xxxx 1E33A554 fccmpe s10, s19, #0x4, ge 1E2B5714 fccmpe s24, s11, #0x4, pl 1E2C3513 fccmpe s8, s12, #0x3, lo 1E348492 fccmpe s4, s20, #0x2, hi 1E3AD538 fccmpe s9, s26, #0x8, le 1E36051F fccmpe s8, s22, #0xf, eq 1E3084D9 fccmpe s6, s16, #0x9, hi 1E2EB652 fccmpe s18, s14, #0x2, lt 1E2E15F8 fccmpe s15, s14, #0x8, ne 1E2D57D2 fccmpe s30, s13, #0x2, pl 1E355752 fccmpe s26, s21, #0x2, pl 1E299599 fccmpe s12, s9, #0x9, ls 1E31653A fccmpe s9, s17, #0xa, vs 1E2C9493 fccmpe s4, s12, #0x3, ls 1E3CB416 fccmpe s0, s28, #0x6, lt 1E3B64BA fccmpe s5, s27, #0xa, vs // FCCMP_D_floatccmp 00011110011xxxxxxxxx01xxxxx0xxxx 1E7C344F fccmp d2, d28, #0xf, lo 1E79650C fccmp d8, d25, #0xc, vs 1E7CE50F fccmp d8, d28, #0xf, al 1E7F84A3 fccmp d5, d31, #0x3, hi 1E7A5724 fccmp d25, d26, #0x4, pl 1E77574F fccmp d26, d23, #0xf, pl 1E72D6A7 fccmp d21, d18, #0x7, le 1E670526 fccmp d9, d7, #0x6, eq 1E761725 fccmp d25, d22, #0x5, ne 1E6F66E3 fccmp d23, d15, #0x3, vs 1E7E9786 fccmp d28, d30, #0x6, ls 1E7DA5C1 fccmp d14, d29, #0x1, ge 1E7164A4 fccmp d5, d17, #0x4, vs 1E6256A4 fccmp d21, d2, #0x4, pl 1E60C72A fccmp d25, d0, #0xa, gt 1E60946E fccmp d3, d0, #0xe, ls // FCCMP_H_floatccmp 00011110111xxxxxxxxx01xxxxx0xxxx 1EEBE40B fccmp h0, h11, #0xb, al 1EF5E64F fccmp h18, h21, #0xf, al 1EEAD52B fccmp h9, h10, #0xb, le 1EF18684 fccmp h20, h17, #0x4, hi 1EF724EC fccmp h7, h23, #0xc, hs 1EF915C9 fccmp h14, h25, #0x9, ne 1EF4D7A8 fccmp h29, h20, #0x8, le 1EFF6763 fccmp h27, h31, #0x3, vs 1EF1B62E fccmp h17, h17, #0xe, lt 1EF2A6AA fccmp h21, h18, #0xa, ge 1EED37E5 fccmp h31, h13, #0x5, lo 1EF32642 fccmp h18, h19, #0x2, hs 1EF9C627 fccmp h17, h25, #0x7, gt 1EF61562 fccmp h11, h22, #0x2, ne 1EE274A0 fccmp h5, h2, #0x0, vc 1EFF660C fccmp h16, h31, #0xc, vs // FCCMP_S_floatccmp 00011110001xxxxxxxxx01xxxxx0xxxx 1E3F26E6 fccmp s23, s31, #0x6, hs 1E3004E0 fccmp s7, s16, #0x0, eq 1E3685A8 fccmp s13, s22, #0x8, hi 1E20072F fccmp s25, s0, #0xf, eq 1E2107C2 fccmp s30, s1, #0x2, eq 1E22158B fccmp s12, s2, #0xb, ne 1E2F1440 fccmp s2, s15, #0x0, ne 1E2D96CC fccmp s22, s13, #0xc, ls 1E32F7AA fccmp s29, s18, #0xa, nv 1E396722 fccmp s25, s25, #0x2, vs 1E2F0788 fccmp s28, s15, #0x8, eq 1E20A42C fccmp s1, s0, #0xc, ge 1E394541 fccmp s10, s25, #0x1, mi 1E3EE585 fccmp s12, s30, #0x5, al 1E25650B fccmp s8, s5, #0xb, vs 1E2276AC fccmp s21, s2, #0xc, vc // FCMEQ_asimdmisc_FZ 0x0011101x100000110110xxxxxxxxxx 4EE0D86F fcmeq v15.2d, v3.2d, #0.0 4EA0DA16 fcmeq v22.4s, v16.4s, #0.0 0EA0DB20 fcmeq v0.2s, v25.2s, #0.0 4EE0DA54 fcmeq v20.2d, v18.2d, #0.0 4EA0DB92 fcmeq v18.4s, v28.4s, #0.0 4EE0DAA5 fcmeq v5.2d, v21.2d, #0.0 4EE0DB37 fcmeq v23.2d, v25.2d, #0.0 0EA0DB8E fcmeq v14.2s, v28.2s, #0.0 4EA0DAFA fcmeq v26.4s, v23.4s, #0.0 4EA0DBFF fcmeq v31.4s, v31.4s, #0.0 4EA0D90C fcmeq v12.4s, v8.4s, #0.0 0EA0D908 fcmeq v8.2s, v8.2s, #0.0 4EE0D8D1 fcmeq v17.2d, v6.2d, #0.0 0EA0D93C fcmeq v28.2s, v9.2s, #0.0 0EA0D829 fcmeq v9.2s, v1.2s, #0.0 4EE0DBFE fcmeq v30.2d, v31.2d, #0.0 // FCMEQ_asimdmiscfp16_FZ 0x00111011111000110110xxxxxxxxxx 4EF8D92F fcmeq v15.8h, v9.8h, #0.0 4EF8DA1B fcmeq v27.8h, v16.8h, #0.0 4EF8DBF7 fcmeq v23.8h, v31.8h, #0.0 4EF8DAEA fcmeq v10.8h, v23.8h, #0.0 4EF8DBCD fcmeq v13.8h, v30.8h, #0.0 0EF8DA3F fcmeq v31.4h, v17.4h, #0.0 0EF8DB20 fcmeq v0.4h, v25.4h, #0.0 4EF8DB1E fcmeq v30.8h, v24.8h, #0.0 0EF8D893 fcmeq v19.4h, v4.4h, #0.0 4EF8DA2D fcmeq v13.8h, v17.8h, #0.0 4EF8DBC1 fcmeq v1.8h, v30.8h, #0.0 0EF8DAD9 fcmeq v25.4h, v22.4h, #0.0 4EF8D911 fcmeq v17.8h, v8.8h, #0.0 0EF8DB3D fcmeq v29.4h, v25.4h, #0.0 4EF8D8F6 fcmeq v22.8h, v7.8h, #0.0 4EF8DB21 fcmeq v1.8h, v25.8h, #0.0 // FCMEQ_asimdsame_only 0x0011100x1xxxxx111001xxxxxxxxxx 0E30E61A fcmeq v26.2s, v16.2s, v16.2s 4E7BE5B5 fcmeq v21.2d, v13.2d, v27.2d 4E36E655 fcmeq v21.4s, v18.4s, v22.4s 4E7EE41D fcmeq v29.2d, v0.2d, v30.2d 4E66E590 fcmeq v16.2d, v12.2d, v6.2d 0E3AE68E fcmeq v14.2s, v20.2s, v26.2s 4E69E5F7 fcmeq v23.2d, v15.2d, v9.2d 4E6FE717 fcmeq v23.2d, v24.2d, v15.2d 0E22E7F0 fcmeq v16.2s, v31.2s, v2.2s 4E73E65F fcmeq v31.2d, v18.2d, v19.2d 4E6FE69F fcmeq v31.2d, v20.2d, v15.2d 4E27E64A fcmeq v10.4s, v18.4s, v7.4s 4E61E580 fcmeq v0.2d, v12.2d, v1.2d 0E28E5A1 fcmeq v1.2s, v13.2s, v8.2s 4E39E43D fcmeq v29.4s, v1.4s, v25.4s 4E75E459 fcmeq v25.2d, v2.2d, v21.2d // FCMEQ_asimdsamefp16_only 0x001110010xxxxx001001xxxxxxxxxx 0E4B2676 fcmeq v22.4h, v19.4h, v11.4h 4E4F2461 fcmeq v1.8h, v3.8h, v15.8h 0E5925D0 fcmeq v16.4h, v14.4h, v25.4h 4E502704 fcmeq v4.8h, v24.8h, v16.8h 4E5525BD fcmeq v29.8h, v13.8h, v21.8h 0E5A258C fcmeq v12.4h, v12.4h, v26.4h 4E55270D fcmeq v13.8h, v24.8h, v21.8h 0E4827D0 fcmeq v16.4h, v30.4h, v8.4h 0E4C2449 fcmeq v9.4h, v2.4h, v12.4h 0E5D27C3 fcmeq v3.4h, v30.4h, v29.4h 4E5C27CE fcmeq v14.8h, v30.8h, v28.8h 0E562461 fcmeq v1.4h, v3.4h, v22.4h 0E452696 fcmeq v22.4h, v20.4h, v5.4h 4E552797 fcmeq v23.8h, v28.8h, v21.8h 4E4625E5 fcmeq v5.8h, v15.8h, v6.8h 4E4F26F1 fcmeq v17.8h, v23.8h, v15.8h // FCMEQ_asisdmisc_FZ 010111101x100000110110xxxxxxxxxx 5EA0DAE9 fcmeq s9, s23, #0.0 5EA0DAED fcmeq s13, s23, #0.0 5EA0D96D fcmeq s13, s11, #0.0 5EA0DBA7 fcmeq s7, s29, #0.0 5EA0D866 fcmeq s6, s3, #0.0 5EE0DB79 fcmeq d25, d27, #0.0 5EA0DBA3 fcmeq s3, s29, #0.0 5EE0D92B fcmeq d11, d9, #0.0 5EA0DAF5 fcmeq s21, s23, #0.0 5EE0D8DB fcmeq d27, d6, #0.0 5EE0D8BD fcmeq d29, d5, #0.0 5EE0D9A3 fcmeq d3, d13, #0.0 5EE0DAF2 fcmeq d18, d23, #0.0 5EE0DBC6 fcmeq d6, d30, #0.0 5EE0D98D fcmeq d13, d12, #0.0 5EA0DA5F fcmeq s31, s18, #0.0 // FCMEQ_asisdmiscfp16_FZ 0101111011111000110110xxxxxxxxxx 5EF8D8F0 fcmeq h16, h7, #0.0 5EF8D8A5 fcmeq h5, h5, #0.0 5EF8D968 fcmeq h8, h11, #0.0 5EF8DB2B fcmeq h11, h25, #0.0 5EF8DB83 fcmeq h3, h28, #0.0 5EF8DB59 fcmeq h25, h26, #0.0 5EF8D982 fcmeq h2, h12, #0.0 5EF8D83D fcmeq h29, h1, #0.0 5EF8D9F9 fcmeq h25, h15, #0.0 5EF8D858 fcmeq h24, h2, #0.0 5EF8D87E fcmeq h30, h3, #0.0 5EF8DA88 fcmeq h8, h20, #0.0 5EF8DA1B fcmeq h27, h16, #0.0 5EF8DB20 fcmeq h0, h25, #0.0 5EF8DB92 fcmeq h18, h28, #0.0 5EF8D805 fcmeq h5, h0, #0.0 // FCMEQ_asisdsame_only 010111100x1xxxxx111001xxxxxxxxxx 5E26E7F4 fcmeq s20, s31, s6 5E69E76B fcmeq d11, d27, d9 5E68E4A3 fcmeq d3, d5, d8 5E6AE750 fcmeq d16, d26, d10 5E3DE601 fcmeq s1, s16, s29 5E24E600 fcmeq s0, s16, s4 5E66E5EC fcmeq d12, d15, d6 5E70E501 fcmeq d1, d8, d16 5E21E65C fcmeq s28, s18, s1 5E2BE445 fcmeq s5, s2, s11 5E3BE733 fcmeq s19, s25, s27 5E3BE446 fcmeq s6, s2, s27 5E2CE72E fcmeq s14, s25, s12 5E78E6FA fcmeq d26, d23, d24 5E28E49E fcmeq s30, s4, s8 5E34E6E4 fcmeq s4, s23, s20 // FCMEQ_asisdsamefp16_only 01011110010xxxxx001001xxxxxxxxxx 5E4726D1 fcmeq h17, h22, h7 5E562695 fcmeq h21, h20, h22 5E49269B fcmeq h27, h20, h9 5E412691 fcmeq h17, h20, h1 5E5825DA fcmeq h26, h14, h24 5E532487 fcmeq h7, h4, h19 5E522754 fcmeq h20, h26, h18 5E4324ED fcmeq h13, h7, h3 5E40274B fcmeq h11, h26, h0 5E5626D2 fcmeq h18, h22, h22 5E5F26E5 fcmeq h5, h23, h31 5E4E2601 fcmeq h1, h16, h14 5E5D271C fcmeq h28, h24, h29 5E58255B fcmeq h27, h10, h24 5E422606 fcmeq h6, h16, h2 5E472526 fcmeq h6, h9, h7 // FCMGE_asimdmisc_FZ 0x1011101x100000110010xxxxxxxxxx 6EA0C80F fcmge v15.4s, v0.4s, #0.0 6EA0CB6B fcmge v11.4s, v27.4s, #0.0 6EE0C85A fcmge v26.2d, v2.2d, #0.0 6EE0C86C fcmge v12.2d, v3.2d, #0.0 6EA0CABB fcmge v27.4s, v21.4s, #0.0 6EA0C852 fcmge v18.4s, v2.4s, #0.0 6EA0CAB7 fcmge v23.4s, v21.4s, #0.0 6EE0CA87 fcmge v7.2d, v20.2d, #0.0 2EA0CA3F fcmge v31.2s, v17.2s, #0.0 2EA0C912 fcmge v18.2s, v8.2s, #0.0 6EE0CA11 fcmge v17.2d, v16.2d, #0.0 6EA0CAE7 fcmge v7.4s, v23.4s, #0.0 2EA0C8FE fcmge v30.2s, v7.2s, #0.0 6EE0CB84 fcmge v4.2d, v28.2d, #0.0 6EA0C9EB fcmge v11.4s, v15.4s, #0.0 6EA0CB7E fcmge v30.4s, v27.4s, #0.0 // FCMGE_asimdmiscfp16_FZ 0x10111011111000110010xxxxxxxxxx 2EF8CA9D fcmge v29.4h, v20.4h, #0.0 6EF8CA1C fcmge v28.8h, v16.8h, #0.0 2EF8C876 fcmge v22.4h, v3.4h, #0.0 6EF8C8CD fcmge v13.8h, v6.8h, #0.0 6EF8CADF fcmge v31.8h, v22.8h, #0.0 6EF8CBA3 fcmge v3.8h, v29.8h, #0.0 2EF8CA14 fcmge v20.4h, v16.4h, #0.0 2EF8C931 fcmge v17.4h, v9.4h, #0.0 2EF8C852 fcmge v18.4h, v2.4h, #0.0 2EF8CB68 fcmge v8.4h, v27.4h, #0.0 2EF8C843 fcmge v3.4h, v2.4h, #0.0 6EF8CB87 fcmge v7.8h, v28.8h, #0.0 6EF8C8A9 fcmge v9.8h, v5.8h, #0.0 2EF8CAB9 fcmge v25.4h, v21.4h, #0.0 6EF8CB0D fcmge v13.8h, v24.8h, #0.0 2EF8CA38 fcmge v24.4h, v17.4h, #0.0 // FCMGE_asimdsame_only 0x1011100x1xxxxx111001xxxxxxxxxx 2E3EE693 fcmge v19.2s, v20.2s, v30.2s 6E3AE70E fcmge v14.4s, v24.4s, v26.4s 6E29E590 fcmge v16.4s, v12.4s, v9.4s 6E6AE539 fcmge v25.2d, v9.2d, v10.2d 2E3CE5B8 fcmge v24.2s, v13.2s, v28.2s 6E2BE58B fcmge v11.4s, v12.4s, v11.4s 6E76E4C1 fcmge v1.2d, v6.2d, v22.2d 2E28E544 fcmge v4.2s, v10.2s, v8.2s 6E3FE7E3 fcmge v3.4s, v31.4s, v31.4s 6E31E6CB fcmge v11.4s, v22.4s, v17.4s 6E3DE620 fcmge v0.4s, v17.4s, v29.4s 6E77E479 fcmge v25.2d, v3.2d, v23.2d 6E27E502 fcmge v2.4s, v8.4s, v7.4s 6E6CE4AC fcmge v12.2d, v5.2d, v12.2d 2E23E625 fcmge v5.2s, v17.2s, v3.2s 2E3AE639 fcmge v25.2s, v17.2s, v26.2s // FCMGE_asimdsamefp16_only 0x101110010xxxxx001001xxxxxxxxxx 2E59251E fcmge v30.4h, v8.4h, v25.4h 2E4D26A8 fcmge v8.4h, v21.4h, v13.4h 2E5524C1 fcmge v1.4h, v6.4h, v21.4h 6E562625 fcmge v5.8h, v17.8h, v22.8h 2E5127CB fcmge v11.4h, v30.4h, v17.4h 2E47275F fcmge v31.4h, v26.4h, v7.4h 6E462446 fcmge v6.8h, v2.8h, v6.8h 6E462465 fcmge v5.8h, v3.8h, v6.8h 2E5E2649 fcmge v9.4h, v18.4h, v30.4h 2E5E25B8 fcmge v24.4h, v13.4h, v30.4h 2E4F240B fcmge v11.4h, v0.4h, v15.4h 2E4F24A0 fcmge v0.4h, v5.4h, v15.4h 2E4B2696 fcmge v22.4h, v20.4h, v11.4h 2E5325D4 fcmge v20.4h, v14.4h, v19.4h 6E5F2487 fcmge v7.8h, v4.8h, v31.8h 2E402549 fcmge v9.4h, v10.4h, v0.4h // FCMGE_asisdmisc_FZ 011111101x100000110010xxxxxxxxxx 7EE0C9FF fcmge d31, d15, #0.0 7EA0C890 fcmge s16, s4, #0.0 7EE0C9DA fcmge d26, d14, #0.0 7EE0CA9D fcmge d29, d20, #0.0 7EA0CBA1 fcmge s1, s29, #0.0 7EA0C942 fcmge s2, s10, #0.0 7EE0C95B fcmge d27, d10, #0.0 7EE0CAF4 fcmge d20, d23, #0.0 7EE0CB4D fcmge d13, d26, #0.0 7EE0CAFB fcmge d27, d23, #0.0 7EE0CB5E fcmge d30, d26, #0.0 7EE0C87A fcmge d26, d3, #0.0 7EA0C8ED fcmge s13, s7, #0.0 7EA0C92A fcmge s10, s9, #0.0 7EE0CBD0 fcmge d16, d30, #0.0 7EE0CBEB fcmge d11, d31, #0.0 // FCMGE_asisdmiscfp16_FZ 0111111011111000110010xxxxxxxxxx 7EF8C8FD fcmge h29, h7, #0.0 7EF8C81A fcmge h26, h0, #0.0 7EF8C97F fcmge h31, h11, #0.0 7EF8C816 fcmge h22, h0, #0.0 7EF8CA1E fcmge h30, h16, #0.0 7EF8C95A fcmge h26, h10, #0.0 7EF8CAD5 fcmge h21, h22, #0.0 7EF8C9C2 fcmge h2, h14, #0.0 7EF8C841 fcmge h1, h2, #0.0 7EF8C80D fcmge h13, h0, #0.0 7EF8CA6C fcmge h12, h19, #0.0 7EF8C873 fcmge h19, h3, #0.0 7EF8CAEA fcmge h10, h23, #0.0 7EF8C859 fcmge h25, h2, #0.0 7EF8CA9D fcmge h29, h20, #0.0 7EF8C91E fcmge h30, h8, #0.0 // FCMGE_asisdsame_only 011111100x1xxxxx111001xxxxxxxxxx 7E7FE64E fcmge d14, d18, d31 7E61E685 fcmge d5, d20, d1 7E73E7E5 fcmge d5, d31, d19 7E21E7B6 fcmge s22, s29, s1 7E2CE7FF fcmge s31, s31, s12 7E3CE647 fcmge s7, s18, s28 7E2BE4AE fcmge s14, s5, s11 7E76E673 fcmge d19, d19, d22 7E3AE5C2 fcmge s2, s14, s26 7E68E68E fcmge d14, d20, d8 7E7BE524 fcmge d4, d9, d27 7E64E5FE fcmge d30, d15, d4 7E72E4CD fcmge d13, d6, d18 7E24E4B0 fcmge s16, s5, s4 7E78E5CD fcmge d13, d14, d24 7E3BE4AA fcmge s10, s5, s27 // FCMGE_asisdsamefp16_only 01111110010xxxxx001001xxxxxxxxxx 7E4027EE fcmge h14, h31, h0 7E46254F fcmge h15, h10, h6 7E4A26DE fcmge h30, h22, h10 7E5D2502 fcmge h2, h8, h29 7E552760 fcmge h0, h27, h21 7E5F258F fcmge h15, h12, h31 7E542609 fcmge h9, h16, h20 7E4627C3 fcmge h3, h30, h6 7E4F255E fcmge h30, h10, h15 7E4B243D fcmge h29, h1, h11 7E482753 fcmge h19, h26, h8 7E432603 fcmge h3, h16, h3 7E5927CD fcmge h13, h30, h25 7E4C251A fcmge h26, h8, h12 7E4D26EF fcmge h15, h23, h13 7E552693 fcmge h19, h20, h21 // FCMGT_asimdmisc_FZ 0x0011101x100000110010xxxxxxxxxx 0EA0C8A6 fcmgt v6.2s, v5.2s, #0.0 0EA0C831 fcmgt v17.2s, v1.2s, #0.0 0EA0C8B4 fcmgt v20.2s, v5.2s, #0.0 4EA0C9B5 fcmgt v21.4s, v13.4s, #0.0 4EA0C9BF fcmgt v31.4s, v13.4s, #0.0 4EA0CB66 fcmgt v6.4s, v27.4s, #0.0 0EA0C852 fcmgt v18.2s, v2.2s, #0.0 4EA0CBA5 fcmgt v5.4s, v29.4s, #0.0 0EA0C854 fcmgt v20.2s, v2.2s, #0.0 0EA0C857 fcmgt v23.2s, v2.2s, #0.0 0EA0C8A5 fcmgt v5.2s, v5.2s, #0.0 4EE0C900 fcmgt v0.2d, v8.2d, #0.0 4EA0C9CC fcmgt v12.4s, v14.4s, #0.0 0EA0C832 fcmgt v18.2s, v1.2s, #0.0 4EA0C93D fcmgt v29.4s, v9.4s, #0.0 4EE0C8A4 fcmgt v4.2d, v5.2d, #0.0 // FCMGT_asimdmiscfp16_FZ 0x00111011111000110xxxxxxxxxxxxx 4EF8C8C1 fcmgt v1.8h, v6.8h, #0.0 4EF8C9C3 fcmgt v3.8h, v14.8h, #0.0 4EF8C850 fcmgt v16.8h, v2.8h, #0.0 0EF8C84E fcmgt v14.4h, v2.4h, #0.0 0EF8CB0C fcmgt v12.4h, v24.4h, #0.0 4EF8C9D0 fcmgt v16.8h, v14.8h, #0.0 0EF8CAFF fcmgt v31.4h, v23.4h, #0.0 4EF8CB31 fcmgt v17.8h, v25.8h, #0.0 4EF8CBF5 fcmgt v21.8h, v31.8h, #0.0 0EF8CB8F fcmgt v15.4h, v28.4h, #0.0 0EF8CBFB fcmgt v27.4h, v31.4h, #0.0 0EF8CBCE fcmgt v14.4h, v30.4h, #0.0 0EF8C93C fcmgt v28.4h, v9.4h, #0.0 0EF8C9E2 fcmgt v2.4h, v15.4h, #0.0 4EF8C86B fcmgt v11.8h, v3.8h, #0.0 0EF8C9FD fcmgt v29.4h, v15.4h, #0.0 // FCMGT_asimdsame_only 0x1011101x1xxxxx111001xxxxxxxxxx 6EF7E4B8 fcmgt v24.2d, v5.2d, v23.2d 6EE9E5F3 fcmgt v19.2d, v15.2d, v9.2d 6EECE4F8 fcmgt v24.2d, v7.2d, v12.2d 2EA0E47D fcmgt v29.2s, v3.2s, v0.2s 2EA1E42C fcmgt v12.2s, v1.2s, v1.2s 2EBDE727 fcmgt v7.2s, v25.2s, v29.2s 2EAEE74B fcmgt v11.2s, v26.2s, v14.2s 6EB3E529 fcmgt v9.4s, v9.4s, v19.4s 6EF8E550 fcmgt v16.2d, v10.2d, v24.2d 6EE2E468 fcmgt v8.2d, v3.2d, v2.2d 6EA4E654 fcmgt v20.4s, v18.4s, v4.4s 6EF8E592 fcmgt v18.2d, v12.2d, v24.2d 6EA9E6E2 fcmgt v2.4s, v23.4s, v9.4s 6EB9E67B fcmgt v27.4s, v19.4s, v25.4s 6EB6E7DA fcmgt v26.4s, v30.4s, v22.4s 6EEAE4A7 fcmgt v7.2d, v5.2d, v10.2d // FCMGT_asimdsamefp16_only 0x101110110xxxxx001001xxxxxxxxxx 6ECA27E0 fcmgt v0.8h, v31.8h, v10.8h 2ED125FA fcmgt v26.4h, v15.4h, v17.4h 2EDF26A9 fcmgt v9.4h, v21.4h, v31.4h 6ECB2742 fcmgt v2.8h, v26.8h, v11.8h 6EDD24F3 fcmgt v19.8h, v7.8h, v29.8h 6ED12785 fcmgt v5.8h, v28.8h, v17.8h 6EDF2519 fcmgt v25.8h, v8.8h, v31.8h 6EDC255E fcmgt v30.8h, v10.8h, v28.8h 2ECC2710 fcmgt v16.4h, v24.4h, v12.4h 2EC7265A fcmgt v26.4h, v18.4h, v7.4h 6ED1268F fcmgt v15.8h, v20.8h, v17.8h 2ED8265D fcmgt v29.4h, v18.4h, v24.4h 6ED02640 fcmgt v0.8h, v18.8h, v16.8h 6ED9252B fcmgt v11.8h, v9.8h, v25.8h 6ECE27BC fcmgt v28.8h, v29.8h, v14.8h 6EC12764 fcmgt v4.8h, v27.8h, v1.8h // FCMGT_asisdmisc_FZ 010111101x100000110010xxxxxxxxxx 5EA0CA9F fcmgt s31, s20, #0.0 5EA0CB06 fcmgt s6, s24, #0.0 5EA0CA04 fcmgt s4, s16, #0.0 5EE0CA87 fcmgt d7, d20, #0.0 5EE0CA2A fcmgt d10, d17, #0.0 5EE0CAA2 fcmgt d2, d21, #0.0 5EA0C976 fcmgt s22, s11, #0.0 5EA0CB5E fcmgt s30, s26, #0.0 5EA0CA47 fcmgt s7, s18, #0.0 5EA0CA28 fcmgt s8, s17, #0.0 5EE0C943 fcmgt d3, d10, #0.0 5EE0CA23 fcmgt d3, d17, #0.0 5EE0C9FA fcmgt d26, d15, #0.0 5EA0CA56 fcmgt s22, s18, #0.0 5EA0C982 fcmgt s2, s12, #0.0 5EA0CA27 fcmgt s7, s17, #0.0 // FCMGT_asisdmiscfp16_FZ 0101111011111000110010xxxxxxxxxx 5EF8CBC6 fcmgt h6, h30, #0.0 5EF8CB2E fcmgt h14, h25, #0.0 5EF8C868 fcmgt h8, h3, #0.0 5EF8CB33 fcmgt h19, h25, #0.0 5EF8CA5B fcmgt h27, h18, #0.0 5EF8C99E fcmgt h30, h12, #0.0 5EF8C8CF fcmgt h15, h6, #0.0 5EF8CB6D fcmgt h13, h27, #0.0 5EF8C8DF fcmgt h31, h6, #0.0 5EF8C9E9 fcmgt h9, h15, #0.0 5EF8CA88 fcmgt h8, h20, #0.0 5EF8C93F fcmgt h31, h9, #0.0 5EF8C9FF fcmgt h31, h15, #0.0 5EF8C8EB fcmgt h11, h7, #0.0 5EF8C86B fcmgt h11, h3, #0.0 5EF8C9C7 fcmgt h7, h14, #0.0 // FCMGT_asisdsame_only 011111101x1xxxxx111001xxxxxxxxxx 7EEAE53A fcmgt d26, d9, d10 7EB2E59F fcmgt s31, s12, s18 7EB7E596 fcmgt s22, s12, s23 7EB6E7C6 fcmgt s6, s30, s22 7EE6E602 fcmgt d2, d16, d6 7EA4E681 fcmgt s1, s20, s4 7EF9E770 fcmgt d16, d27, d25 7EB1E487 fcmgt s7, s4, s17 7EA1E6B2 fcmgt s18, s21, s1 7EA6E758 fcmgt s24, s26, s6 7EFCE616 fcmgt d22, d16, d28 7EF6E669 fcmgt d9, d19, d22 7EEDE6F8 fcmgt d24, d23, d13 7EF8E74F fcmgt d15, d26, d24 7EBDE6B2 fcmgt s18, s21, s29 7EE2E704 fcmgt d4, d24, d2 // FCMGT_asisdsamefp16_only 01111110110xxxxx001001xxxxxxxxxx 7ED92406 fcmgt h6, h0, h25 7ED72419 fcmgt h25, h0, h23 7EC92505 fcmgt h5, h8, h9 7ED22459 fcmgt h25, h2, h18 7EC524FE fcmgt h30, h7, h5 7ED52633 fcmgt h19, h17, h21 7EDE2767 fcmgt h7, h27, h30 7ED1276F fcmgt h15, h27, h17 7EC924EC fcmgt h12, h7, h9 7EC52441 fcmgt h1, h2, h5 7ECE256F fcmgt h15, h11, h14 7ED327A7 fcmgt h7, h29, h19 7EDF25B3 fcmgt h19, h13, h31 7ED924A6 fcmgt h6, h5, h25 7EDC24CE fcmgt h14, h6, h28 7EC52406 fcmgt h6, h0, h5 // FCMLA_asimdelem_C_H 0x10111101xxxxxx0xx1xxxxxxxxxxxx 6F4512C4 fcmla v4.8h, v22.8h, v5.h[0], #0x0 6F52302B fcmla v11.8h, v1.8h, v18.h[0], #0x5a 6F5650F7 fcmla v23.8h, v7.8h, v22.h[0], #0xb4 2F5351B5 fcmla v21.4h, v13.4h, v19.h[0], #0xb4 6F4353BA fcmla v26.8h, v29.8h, v3.h[0], #0xb4 6F7C11A1 fcmla v1.8h, v13.8h, v28.h[1], #0x0 6F4E193E fcmla v30.8h, v9.8h, v14.h[2], #0x0 2F48330C fcmla v12.4h, v24.4h, v8.h[0], #0x5a 6F5330BF fcmla v31.8h, v5.8h, v19.h[0], #0x5a 6F6D5931 fcmla v17.8h, v9.8h, v13.h[3], #0xb4 6F7F32D2 fcmla v18.8h, v22.8h, v31.h[1], #0x5a 6F4E1AE3 fcmla v3.8h, v23.8h, v14.h[2], #0x0 6F7731B5 fcmla v21.8h, v13.8h, v23.h[1], #0x5a 6F4513BC fcmla v28.8h, v29.8h, v5.h[0], #0x0 6F4530E0 fcmla v0.8h, v7.8h, v5.h[0], #0x5a 6F4A7072 fcmla v18.8h, v3.8h, v10.h[0], #0x10e // FCMLA_asimdelem_C_S 01101111100xxxxx0xx1x0xxxxxxxxxx 6F9038F8 fcmla v24.4s, v7.4s, v16.s[1], #0x5a 6F807917 fcmla v23.4s, v8.4s, v0.s[1], #0x10e 6F9F5010 fcmla v16.4s, v0.4s, v31.s[0], #0xb4 6F811AFA fcmla v26.4s, v23.4s, v1.s[1], #0x0 6F8279AA fcmla v10.4s, v13.4s, v2.s[1], #0x10e 6F9A1BD3 fcmla v19.4s, v30.4s, v26.s[1], #0x0 6F971B82 fcmla v2.4s, v28.4s, v23.s[1], #0x0 6F9D53F8 fcmla v24.4s, v31.4s, v29.s[0], #0xb4 6F8A3195 fcmla v21.4s, v12.4s, v10.s[0], #0x5a 6F887174 fcmla v20.4s, v11.4s, v8.s[0], #0x10e 6F873A0C fcmla v12.4s, v16.4s, v7.s[1], #0x5a 6F9919EC fcmla v12.4s, v15.4s, v25.s[1], #0x0 6F8211EE fcmla v14.4s, v15.4s, v2.s[0], #0x0 6F827955 fcmla v21.4s, v10.4s, v2.s[1], #0x10e 6F8E512D fcmla v13.4s, v9.4s, v14.s[0], #0xb4 6F9933BC fcmla v28.4s, v29.4s, v25.s[0], #0x5a // FCMLA_asimdsame2_C 0x101110xx0xxxxx110xx1xxxxxxxxxx 6E9FC449 fcmla v9.4s, v2.4s, v31.4s, #0x0 2E90CED2 fcmla v18.2s, v22.2s, v16.2s, #0x5a 2E47C5E2 fcmla v2.4h, v15.4h, v7.4h, #0x0 2E81CD4D fcmla v13.2s, v10.2s, v1.2s, #0x5a 6E41D5C0 fcmla v0.8h, v14.8h, v1.8h, #0xb4 2E4ECF24 fcmla v4.4h, v25.4h, v14.4h, #0x5a 6E4ED6C6 fcmla v6.8h, v22.8h, v14.8h, #0xb4 2E48DF73 fcmla v19.4h, v27.4h, v8.4h, #0x10e 6E82CDD1 fcmla v17.4s, v14.4s, v2.4s, #0x5a 2E82D607 fcmla v7.2s, v16.2s, v2.2s, #0xb4 2E86CC23 fcmla v3.2s, v1.2s, v6.2s, #0x5a 2E8ADD63 fcmla v3.2s, v11.2s, v10.2s, #0x10e 2E9BCD21 fcmla v1.2s, v9.2s, v27.2s, #0x5a 6E4ED4F6 fcmla v22.8h, v7.8h, v14.8h, #0xb4 2E81CE4D fcmla v13.2s, v18.2s, v1.2s, #0x5a 2E9FDE01 fcmla v1.2s, v16.2s, v31.2s, #0x10e // FCMLE_asimdmisc_FZ 0x1011101x100000110110xxxxxxxxxx 6EA0D844 fcmle v4.4s, v2.4s, #0.0 6EA0D8CC fcmle v12.4s, v6.4s, #0.0 2EA0D87A fcmle v26.2s, v3.2s, #0.0 6EA0DB61 fcmle v1.4s, v27.4s, #0.0 6EE0DACA fcmle v10.2d, v22.2d, #0.0 2EA0D979 fcmle v25.2s, v11.2s, #0.0 6EA0DBF4 fcmle v20.4s, v31.4s, #0.0 6EA0D860 fcmle v0.4s, v3.4s, #0.0 2EA0DBE4 fcmle v4.2s, v31.2s, #0.0 2EA0D97D fcmle v29.2s, v11.2s, #0.0 6EA0D9BF fcmle v31.4s, v13.4s, #0.0 2EA0D98B fcmle v11.2s, v12.2s, #0.0 6EA0D88A fcmle v10.4s, v4.4s, #0.0 2EA0DA77 fcmle v23.2s, v19.2s, #0.0 6EA0D83B fcmle v27.4s, v1.4s, #0.0 6EE0D9FF fcmle v31.2d, v15.2d, #0.0 // FCMLE_asimdmiscfp16_FZ 0x10111011111000110110xxxxxxxxxx 6EF8D816 fcmle v22.8h, v0.8h, #0.0 2EF8DA61 fcmle v1.4h, v19.4h, #0.0 2EF8D8C3 fcmle v3.4h, v6.4h, #0.0 6EF8DB3E fcmle v30.8h, v25.8h, #0.0 2EF8D833 fcmle v19.4h, v1.4h, #0.0 2EF8DB13 fcmle v19.4h, v24.4h, #0.0 6EF8DA17 fcmle v23.8h, v16.8h, #0.0 6EF8DB64 fcmle v4.8h, v27.8h, #0.0 6EF8D8D3 fcmle v19.8h, v6.8h, #0.0 2EF8D9AF fcmle v15.4h, v13.4h, #0.0 6EF8D915 fcmle v21.8h, v8.8h, #0.0 6EF8D99B fcmle v27.8h, v12.8h, #0.0 6EF8DA0B fcmle v11.8h, v16.8h, #0.0 6EF8DB42 fcmle v2.8h, v26.8h, #0.0 6EF8D972 fcmle v18.8h, v11.8h, #0.0 6EF8D83D fcmle v29.8h, v1.8h, #0.0 // FCMLE_asisdmisc_FZ 011111101x100000110110xxxxxxxxxx 7EE0D804 fcmle d4, d0, #0.0 7EE0DA6F fcmle d15, d19, #0.0 7EE0D9DD fcmle d29, d14, #0.0 7EE0DB5E fcmle d30, d26, #0.0 7EE0DB4B fcmle d11, d26, #0.0 7EE0D844 fcmle d4, d2, #0.0 7EE0D986 fcmle d6, d12, #0.0 7EE0DA63 fcmle d3, d19, #0.0 7EE0D99E fcmle d30, d12, #0.0 7EE0D83E fcmle d30, d1, #0.0 7EA0DA1C fcmle s28, s16, #0.0 7EE0D952 fcmle d18, d10, #0.0 7EE0D8FE fcmle d30, d7, #0.0 7EA0D88C fcmle s12, s4, #0.0 7EA0D88D fcmle s13, s4, #0.0 7EE0DB11 fcmle d17, d24, #0.0 // FCMLE_asisdmiscfp16_FZ 0111111011111000110110xxxxxxxxxx 7EF8DAF7 fcmle h23, h23, #0.0 7EF8DA66 fcmle h6, h19, #0.0 7EF8DAC9 fcmle h9, h22, #0.0 7EF8D895 fcmle h21, h4, #0.0 7EF8DB79 fcmle h25, h27, #0.0 7EF8DB03 fcmle h3, h24, #0.0 7EF8DBBB fcmle h27, h29, #0.0 7EF8D932 fcmle h18, h9, #0.0 7EF8D923 fcmle h3, h9, #0.0 7EF8DB38 fcmle h24, h25, #0.0 7EF8D839 fcmle h25, h1, #0.0 7EF8DAAF fcmle h15, h21, #0.0 7EF8DAD6 fcmle h22, h22, #0.0 7EF8D90D fcmle h13, h8, #0.0 7EF8DBBF fcmle h31, h29, #0.0 7EF8DB4C fcmle h12, h26, #0.0 // FCMLT_asimdmisc_FZ 0x0011101x100000111010xxxxxxxxxx 4EE0E95C fcmlt v28.2d, v10.2d, #0.0 4EA0EBE1 fcmlt v1.4s, v31.4s, #0.0 0EA0EB63 fcmlt v3.2s, v27.2s, #0.0 4EE0EB25 fcmlt v5.2d, v25.2d, #0.0 4EE0EB85 fcmlt v5.2d, v28.2d, #0.0 4EA0E9F7 fcmlt v23.4s, v15.4s, #0.0 4EA0E972 fcmlt v18.4s, v11.4s, #0.0 0EA0EB48 fcmlt v8.2s, v26.2s, #0.0 4EA0E8AF fcmlt v15.4s, v5.4s, #0.0 0EA0E816 fcmlt v22.2s, v0.2s, #0.0 0EA0EB83 fcmlt v3.2s, v28.2s, #0.0 4EE0E85F fcmlt v31.2d, v2.2d, #0.0 4EA0E98C fcmlt v12.4s, v12.4s, #0.0 0EA0E835 fcmlt v21.2s, v1.2s, #0.0 0EA0E927 fcmlt v7.2s, v9.2s, #0.0 0EA0EA86 fcmlt v6.2s, v20.2s, #0.0 // FCMLT_asimdmiscfp16_FZ 0x00111011111000111010xxxxxxxxxx 0EF8EA04 fcmlt v4.4h, v16.4h, #0.0 0EF8EBC0 fcmlt v0.4h, v30.4h, #0.0 4EF8EA84 fcmlt v4.8h, v20.8h, #0.0 0EF8E8CD fcmlt v13.4h, v6.4h, #0.0 0EF8E9E1 fcmlt v1.4h, v15.4h, #0.0 0EF8E88F fcmlt v15.4h, v4.4h, #0.0 4EF8EB02 fcmlt v2.8h, v24.8h, #0.0 4EF8E9B3 fcmlt v19.8h, v13.8h, #0.0 4EF8EB67 fcmlt v7.8h, v27.8h, #0.0 4EF8EB05 fcmlt v5.8h, v24.8h, #0.0 0EF8EB91 fcmlt v17.4h, v28.4h, #0.0 0EF8EB78 fcmlt v24.4h, v27.4h, #0.0 4EF8EB26 fcmlt v6.8h, v25.8h, #0.0 0EF8EBDF fcmlt v31.4h, v30.4h, #0.0 4EF8EB06 fcmlt v6.8h, v24.8h, #0.0 0EF8E84F fcmlt v15.4h, v2.4h, #0.0 // FCMLT_asisdmisc_FZ 010111101x100000111010xxxxxxxxxx 5EA0E90C fcmlt s12, s8, #0.0 5EE0EA6B fcmlt d11, d19, #0.0 5EA0EA0F fcmlt s15, s16, #0.0 5EA0EB56 fcmlt s22, s26, #0.0 5EE0EB2F fcmlt d15, d25, #0.0 5EA0EB9B fcmlt s27, s28, #0.0 5EA0EADC fcmlt s28, s22, #0.0 5EE0E849 fcmlt d9, d2, #0.0 5EE0E963 fcmlt d3, d11, #0.0 5EE0EAD2 fcmlt d18, d22, #0.0 5EA0EB26 fcmlt s6, s25, #0.0 5EE0E8F7 fcmlt d23, d7, #0.0 5EA0E948 fcmlt s8, s10, #0.0 5EA0E8D4 fcmlt s20, s6, #0.0 5EE0EA38 fcmlt d24, d17, #0.0 5EE0EBF1 fcmlt d17, d31, #0.0 // FCMLT_asisdmiscfp16_FZ 0101111011111000111010xxxxxxxxxx 5EF8EA48 fcmlt h8, h18, #0.0 5EF8E849 fcmlt h9, h2, #0.0 5EF8E80C fcmlt h12, h0, #0.0 5EF8E8DF fcmlt h31, h6, #0.0 5EF8EA6E fcmlt h14, h19, #0.0 5EF8E981 fcmlt h1, h12, #0.0 5EF8E966 fcmlt h6, h11, #0.0 5EF8EAFE fcmlt h30, h23, #0.0 5EF8E8F0 fcmlt h16, h7, #0.0 5EF8E84C fcmlt h12, h2, #0.0 5EF8EBE6 fcmlt h6, h31, #0.0 5EF8EB3C fcmlt h28, h25, #0.0 5EF8E85B fcmlt h27, h2, #0.0 5EF8EAEA fcmlt h10, h23, #0.0 5EF8E94F fcmlt h15, h10, #0.0 5EF8E968 fcmlt h8, h11, #0.0 // FCMPE_DZ_floatcmp 00011110011xxxxx001000xxxxx11000 1E7F22F8 fcmpe d23, #0.0 1E732058 fcmpe d2, #0.0 1E792238 fcmpe d17, #0.0 1E7623F8 fcmpe d31, #0.0 1E7D2018 fcmpe d0, #0.0 1E7921B8 fcmpe d13, #0.0 1E7720B8 fcmpe d5, #0.0 1E6A2038 fcmpe d1, #0.0 1E6123D8 fcmpe d30, #0.0 1E6223B8 fcmpe d29, #0.0 1E6E2098 fcmpe d4, #0.0 1E6922B8 fcmpe d21, #0.0 1E712398 fcmpe d28, #0.0 1E682218 fcmpe d16, #0.0 1E662318 fcmpe d24, #0.0 1E732178 fcmpe d11, #0.0 // FCMPE_D_floatcmp 00011110011xxxxx001000xxxxx10000 1E772090 fcmpe d4, d23 1E7021F0 fcmpe d15, d16 1E622370 fcmpe d27, d2 1E7921F0 fcmpe d15, d25 1E6A2110 fcmpe d8, d10 1E6E20D0 fcmpe d6, d14 1E7421D0 fcmpe d14, d20 1E6B20B0 fcmpe d5, d11 1E7D2110 fcmpe d8, d29 1E7F21D0 fcmpe d14, d31 1E662070 fcmpe d3, d6 1E662370 fcmpe d27, d6 1E7121D0 fcmpe d14, d17 1E7B2130 fcmpe d9, d27 1E7020F0 fcmpe d7, d16 1E6A2010 fcmpe d0, d10 // FCMPE_HZ_floatcmp 00011110111xxxxx001000xxxxx11000 1EEC2118 fcmpe h8, #0.0 1EEE22F8 fcmpe h23, #0.0 1EE821D8 fcmpe h14, #0.0 1EE32158 fcmpe h10, #0.0 1EF22038 fcmpe h1, #0.0 1EED21B8 fcmpe h13, #0.0 1EE020B8 fcmpe h5, #0.0 1EF62338 fcmpe h25, #0.0 1EF622B8 fcmpe h21, #0.0 1EE82158 fcmpe h10, #0.0 1EE22338 fcmpe h25, #0.0 1EF42258 fcmpe h18, #0.0 1EE823F8 fcmpe h31, #0.0 1EF62018 fcmpe h0, #0.0 1EF22218 fcmpe h16, #0.0 1EFC2178 fcmpe h11, #0.0 // FCMPE_H_floatcmp 00011110111xxxxx001000xxxxx10000 1EF121D0 fcmpe h14, h17 1EF922F0 fcmpe h23, h25 1EFD2110 fcmpe h8, h29 1EF42170 fcmpe h11, h20 1EEA21D0 fcmpe h14, h10 1EE42250 fcmpe h18, h4 1EE52030 fcmpe h1, h5 1EF02270 fcmpe h19, h16 1EEB2170 fcmpe h11, h11 1EE82050 fcmpe h2, h8 1EF423B0 fcmpe h29, h20 1EFC2150 fcmpe h10, h28 1EEB2130 fcmpe h9, h11 1EF42150 fcmpe h10, h20 1EE52050 fcmpe h2, h5 1EFE2070 fcmpe h3, h30 // FCMPE_SZ_floatcmp 00011110001xxxxx001000xxxxx11000 1E2D2098 fcmpe s4, #0.0 1E272218 fcmpe s16, #0.0 1E372018 fcmpe s0, #0.0 1E312318 fcmpe s24, #0.0 1E3B2098 fcmpe s4, #0.0 1E3E21D8 fcmpe s14, #0.0 1E2420F8 fcmpe s7, #0.0 1E352338 fcmpe s25, #0.0 1E2221D8 fcmpe s14, #0.0 1E292278 fcmpe s19, #0.0 1E3A2278 fcmpe s19, #0.0 1E2A2298 fcmpe s20, #0.0 1E3A20B8 fcmpe s5, #0.0 1E312218 fcmpe s16, #0.0 1E252238 fcmpe s17, #0.0 1E2C2098 fcmpe s4, #0.0 // FCMPE_S_floatcmp 00011110001xxxxx001000xxxxx10000 1E3722B0 fcmpe s21, s23 1E262350 fcmpe s26, s6 1E2520B0 fcmpe s5, s5 1E342230 fcmpe s17, s20 1E3E2070 fcmpe s3, s30 1E372030 fcmpe s1, s23 1E382030 fcmpe s1, s24 1E212090 fcmpe s4, s1 1E262370 fcmpe s27, s6 1E3222F0 fcmpe s23, s18 1E2B2190 fcmpe s12, s11 1E312330 fcmpe s25, s17 1E2C2270 fcmpe s19, s12 1E302370 fcmpe s27, s16 1E2E2170 fcmpe s11, s14 1E332050 fcmpe s2, s19 // FCMP_DZ_floatcmp 00011110011xxxxx001000xxxxx01000 1E682148 fcmp d10, #0.0 1E7120A8 fcmp d5, #0.0 1E6920E8 fcmp d7, #0.0 1E7D21C8 fcmp d14, #0.0 1E7D22C8 fcmp d22, #0.0 1E682168 fcmp d11, #0.0 1E612208 fcmp d16, #0.0 1E6D2128 fcmp d9, #0.0 1E652328 fcmp d25, #0.0 1E7E23E8 fcmp d31, #0.0 1E702148 fcmp d10, #0.0 1E612128 fcmp d9, #0.0 1E6E23E8 fcmp d31, #0.0 1E772168 fcmp d11, #0.0 1E642088 fcmp d4, #0.0 1E7A2248 fcmp d18, #0.0 // FCMP_D_floatcmp 00011110011xxxxx001000xxxxx00000 1E712040 fcmp d2, d17 1E632360 fcmp d27, d3 1E7A2260 fcmp d19, d26 1E642060 fcmp d3, d4 1E732320 fcmp d25, d19 1E7D2340 fcmp d26, d29 1E732260 fcmp d19, d19 1E792100 fcmp d8, d25 1E772180 fcmp d12, d23 1E772280 fcmp d20, d23 1E7F2220 fcmp d17, d31 1E602100 fcmp d8, d0 1E772300 fcmp d24, d23 1E6B2140 fcmp d10, d11 1E7C21E0 fcmp d15, d28 1E7F2380 fcmp d28, d31 // FCMP_HZ_floatcmp 00011110111xxxxx001000xxxxx01000 1EF82268 fcmp h19, #0.0 1EEF2348 fcmp h26, #0.0 1EF921E8 fcmp h15, #0.0 1EE82308 fcmp h24, #0.0 1EEB2328 fcmp h25, #0.0 1EE52328 fcmp h25, #0.0 1EF02188 fcmp h12, #0.0 1EE92008 fcmp h0, #0.0 1EEB2088 fcmp h4, #0.0 1EE721A8 fcmp h13, #0.0 1EE52348 fcmp h26, #0.0 1EF72088 fcmp h4, #0.0 1EF722A8 fcmp h21, #0.0 1EEA21A8 fcmp h13, #0.0 1EEC22E8 fcmp h23, #0.0 1EF42308 fcmp h24, #0.0 // FCMP_H_floatcmp 00011110111xxxxx001000xxxxx00000 1EF12100 fcmp h8, h17 1EFA2240 fcmp h18, h26 1EEA2100 fcmp h8, h10 1EFE2100 fcmp h8, h30 1EF72180 fcmp h12, h23 1EE52180 fcmp h12, h5 1EF02300 fcmp h24, h16 1EF62180 fcmp h12, h22 1EEC2240 fcmp h18, h12 1EFB2040 fcmp h2, h27 1EE623A0 fcmp h29, h6 1EFA21E0 fcmp h15, h26 1EF622C0 fcmp h22, h22 1EFD2160 fcmp h11, h29 1EEB2320 fcmp h25, h11 1EEA2080 fcmp h4, h10 // FCMP_SZ_floatcmp 00011110001xxxxx001000xxxxx01000 1E272288 fcmp s20, #0.0 1E3923A8 fcmp s29, #0.0 1E242348 fcmp s26, #0.0 1E3E20A8 fcmp s5, #0.0 1E2323E8 fcmp s31, #0.0 1E3D2348 fcmp s26, #0.0 1E392148 fcmp s10, #0.0 1E202108 fcmp s8, #0.0 1E3921C8 fcmp s14, #0.0 1E2E21A8 fcmp s13, #0.0 1E3C2188 fcmp s12, #0.0 1E2220E8 fcmp s7, #0.0 1E252348 fcmp s26, #0.0 1E3A23E8 fcmp s31, #0.0 1E372248 fcmp s18, #0.0 1E3C2308 fcmp s24, #0.0 // FCMP_S_floatcmp 00011110001xxxxx001000xxxxx00000 1E332040 fcmp s2, s19 1E272080 fcmp s4, s7 1E382040 fcmp s2, s24 1E352040 fcmp s2, s21 1E222240 fcmp s18, s2 1E3F2360 fcmp s27, s31 1E262160 fcmp s11, s6 1E282200 fcmp s16, s8 1E3023A0 fcmp s29, s16 1E3D2240 fcmp s18, s29 1E3F2260 fcmp s19, s31 1E2123A0 fcmp s29, s1 1E2E2300 fcmp s24, s14 1E312000 fcmp s0, s17 1E272260 fcmp s19, s7 1E2521A0 fcmp s13, s5 // FCSEL_D_floatsel 00011110011xxxxxxxxxxxxxxxxxxxxx 1E746C6A fcsel d10, d3, d20, vs 1E75ED1E fcsel d30, d8, d21, al 1E61CD5D fcsel d29, d10, d1, gt 1E777ECD fcsel d13, d22, d23, vc 1E7B7C20 fcsel d0, d1, d27, vc 1E635EDA fcsel d26, d22, d3, pl 1E6E6F34 fcsel d20, d25, d14, vs 1E761CD6 fcsel d22, d6, d22, ne 1E721D18 fcsel d24, d8, d18, ne 1E6DADF4 fcsel d20, d15, d13, ge 1E733E92 fcsel d18, d20, d19, lo 1E74AC14 fcsel d20, d0, d20, ge 1E619CC6 fcsel d6, d6, d1, ls 1E63FC0E fcsel d14, d0, d3, nv 1E64BD14 fcsel d20, d8, d4, lt 1E701F98 fcsel d24, d28, d16, ne // FCSEL_H_floatsel 00011110111xxxxxxxxxxxxxxxxxxxxx 1EED3D3E fcsel h30, h9, h13, lo 1EFF6E9D fcsel h29, h20, h31, vs 1EE3AE3F fcsel h31, h17, h3, ge 1EE35D6E fcsel h14, h11, h3, pl 1EFA4F47 fcsel h7, h26, h26, mi 1EF22D2D fcsel h13, h9, h18, hs 1EF58D80 fcsel h0, h12, h21, hi 1EFF7CA0 fcsel h0, h5, h31, vc 1EF4EE3E fcsel h30, h17, h20, al 1EF47FAD fcsel h13, h29, h20, vc 1EE2DCB8 fcsel h24, h5, h2, le 1EEC8C46 fcsel h6, h2, h12, hi 1EE1AD42 fcsel h2, h10, h1, ge 1EF3DE45 fcsel h5, h18, h19, le 1EE20FAC fcsel h12, h29, h2, eq 1EF22C42 fcsel h2, h2, h18, hs // FCSEL_S_floatsel 00011110001xxxxxxxxxxxxxxxxxxxxx 1E32BE1A fcsel s26, s16, s18, lt 1E3C7D2F fcsel s15, s9, s28, vc 1E357C60 fcsel s0, s3, s21, vc 1E2F8ED1 fcsel s17, s22, s15, hi 1E2E1E15 fcsel s21, s16, s14, ne 1E22CEE7 fcsel s7, s23, s2, gt 1E31DCCE fcsel s14, s6, s17, le 1E34FF5C fcsel s28, s26, s20, nv 1E3BBE12 fcsel s18, s16, s27, lt 1E227C1D fcsel s29, s0, s2, vc 1E37EC05 fcsel s5, s0, s23, al 1E3D0E2E fcsel s14, s17, s29, eq 1E2C6DD9 fcsel s25, s14, s12, vs 1E2CFCC5 fcsel s5, s6, s12, nv 1E343FED fcsel s13, s31, s20, lo 1E24DE3D fcsel s29, s17, s4, le // FCVTAS_32D_float2int 0001111001100100000000xxxxxxxxxx 1E64018E fcvtas w14, d12 1E640380 fcvtas w0, d28 1E6400F1 fcvtas w17, d7 1E640277 fcvtas w23, d19 1E640191 fcvtas w17, d12 1E6402A8 fcvtas w8, d21 1E640252 fcvtas w18, d18 1E640202 fcvtas w2, d16 1E64001C fcvtas w28, d0 1E64035D fcvtas w29, d26 1E640293 fcvtas w19, d20 1E6402E8 fcvtas w8, d23 1E6402BC fcvtas w28, d21 1E640023 fcvtas w3, d1 1E64031C fcvtas w28, d24 1E6401A8 fcvtas w8, d13 // FCVTAS_32H_float2int 0001111011100100000000xxxxxxxxxx 1EE40349 fcvtas w9, h26 1EE40320 fcvtas w0, h25 1EE4022E fcvtas w14, h17 1EE40260 fcvtas w0, h19 1EE40217 fcvtas w23, h16 1EE402BC fcvtas w28, h21 1EE4013F fcvtas wzr, h9 1EE400BA fcvtas w26, h5 1EE40361 fcvtas w1, h27 1EE40395 fcvtas w21, h28 1EE4021E fcvtas w30, h16 1EE403D0 fcvtas w16, h30 1EE4039C fcvtas w28, h28 1EE400FC fcvtas w28, h7 1EE40134 fcvtas w20, h9 1EE40265 fcvtas w5, h19 // FCVTAS_32S_float2int 0001111000100100000000xxxxxxxxxx 1E24029F fcvtas wzr, s20 1E240271 fcvtas w17, s19 1E240150 fcvtas w16, s10 1E2402CC fcvtas w12, s22 1E2401AD fcvtas w13, s13 1E2403E9 fcvtas w9, s31 1E240032 fcvtas w18, s1 1E240142 fcvtas w2, s10 1E2402AE fcvtas w14, s21 1E2401E7 fcvtas w7, s15 1E240057 fcvtas w23, s2 1E24007D fcvtas w29, s3 1E24018D fcvtas w13, s12 1E240223 fcvtas w3, s17 1E2400C4 fcvtas w4, s6 1E24035D fcvtas w29, s26 // FCVTAS_64D_float2int 1001111001100100000000xxxxxxxxxx 9E64013B fcvtas x27, d9 9E6401E8 fcvtas x8, d15 9E64024E fcvtas x14, d18 9E6400CD fcvtas x13, d6 9E640081 fcvtas x1, d4 9E6401B2 fcvtas x18, d13 9E6402F3 fcvtas x19, d23 9E640145 fcvtas x5, d10 9E640330 fcvtas x16, d25 9E6401C5 fcvtas x5, d14 9E640353 fcvtas x19, d26 9E64013E fcvtas x30, d9 9E640125 fcvtas x5, d9 9E640075 fcvtas x21, d3 9E6402F8 fcvtas x24, d23 9E64014D fcvtas x13, d10 // FCVTAS_64H_float2int 1001111011100100000000xxxxxxxxxx 9EE401C2 fcvtas x2, h14 9EE40363 fcvtas x3, h27 9EE4026B fcvtas x11, h19 9EE40247 fcvtas x7, h18 9EE403D3 fcvtas x19, h30 9EE40056 fcvtas x22, h2 9EE4010F fcvtas x15, h8 9EE403F5 fcvtas x21, h31 9EE40028 fcvtas x8, h1 9EE4026D fcvtas x13, h19 9EE4003B fcvtas x27, h1 9EE40058 fcvtas x24, h2 9EE40083 fcvtas x3, h4 9EE4035E fcvtas x30, h26 9EE40189 fcvtas x9, h12 9EE4012B fcvtas x11, h9 // FCVTAS_64S_float2int 1001111000100100000000xxxxxxxxxx 9E2400FE fcvtas x30, s7 9E24032F fcvtas x15, s25 9E240283 fcvtas x3, s20 9E240226 fcvtas x6, s17 9E2401E4 fcvtas x4, s15 9E2401B0 fcvtas x16, s13 9E24027D fcvtas x29, s19 9E240351 fcvtas x17, s26 9E24002B fcvtas x11, s1 9E240011 fcvtas x17, s0 9E2402E5 fcvtas x5, s23 9E2402A4 fcvtas x4, s21 9E2400FA fcvtas x26, s7 9E2402B1 fcvtas x17, s21 9E2403DD fcvtas x29, s30 9E2401D4 fcvtas x20, s14 // FCVTAS_asimdmisc_R 0x0011100x100001110010xxxxxxxxxx 0E21C92B fcvtas v11.2s, v9.2s 4E21CB81 fcvtas v1.4s, v28.4s 4E21CA43 fcvtas v3.4s, v18.4s 0E21C8E5 fcvtas v5.2s, v7.2s 4E21C981 fcvtas v1.4s, v12.4s 0E21CB50 fcvtas v16.2s, v26.2s 0E21C8C7 fcvtas v7.2s, v6.2s 4E21CA12 fcvtas v18.4s, v16.4s 0E21C820 fcvtas v0.2s, v1.2s 4E21C840 fcvtas v0.4s, v2.4s 0E21C994 fcvtas v20.2s, v12.2s 0E21CAC7 fcvtas v7.2s, v22.2s 0E21C802 fcvtas v2.2s, v0.2s 4E21CBA3 fcvtas v3.4s, v29.4s 4E21CAE1 fcvtas v1.4s, v23.4s 4E61CBA4 fcvtas v4.2d, v29.2d // FCVTAS_asimdmiscfp16_R 0x0011100111100111001xxxxxxxxxxx 4E79C904 fcvtas v4.8h, v8.8h 4E79C9B2 fcvtas v18.8h, v13.8h 0E79CA35 fcvtas v21.4h, v17.4h 0E79CB26 fcvtas v6.4h, v25.4h 4E79CAF8 fcvtas v24.8h, v23.8h 0E79CAF7 fcvtas v23.4h, v23.4h 0E79C90D fcvtas v13.4h, v8.4h 4E79CB7E fcvtas v30.8h, v27.8h 4E79CBF7 fcvtas v23.8h, v31.8h 4E79CB49 fcvtas v9.8h, v26.8h 0E79CB0A fcvtas v10.4h, v24.4h 0E79C9CC fcvtas v12.4h, v14.4h 0E79C816 fcvtas v22.4h, v0.4h 4E79C8E7 fcvtas v7.8h, v7.8h 0E79CB7C fcvtas v28.4h, v27.4h 4E79C9FB fcvtas v27.8h, v15.8h // FCVTAS_asisdmisc_R 010111100x100001110010xxxxxxxxxx 5E61C9DA fcvtas d26, d14 5E21C84B fcvtas s11, s2 5E21CB84 fcvtas s4, s28 5E21CAAE fcvtas s14, s21 5E61CA0A fcvtas d10, d16 5E61C848 fcvtas d8, d2 5E61CBE2 fcvtas d2, d31 5E61C837 fcvtas d23, d1 5E61C98E fcvtas d14, d12 5E61CA4C fcvtas d12, d18 5E61CBA9 fcvtas d9, d29 5E21C952 fcvtas s18, s10 5E61C992 fcvtas d18, d12 5E61C918 fcvtas d24, d8 5E21C989 fcvtas s9, s12 5E61C9C7 fcvtas d7, d14 // FCVTAS_asisdmiscfp16_R 0101111001111001110010xxxxxxxxxx 5E79CAF8 fcvtas h24, h23 5E79CBA5 fcvtas h5, h29 5E79C912 fcvtas h18, h8 5E79C8A0 fcvtas h0, h5 5E79CA85 fcvtas h5, h20 5E79CB0C fcvtas h12, h24 5E79C8B0 fcvtas h16, h5 5E79CA40 fcvtas h0, h18 5E79C943 fcvtas h3, h10 5E79C813 fcvtas h19, h0 5E79C85E fcvtas h30, h2 5E79C84B fcvtas h11, h2 5E79C929 fcvtas h9, h9 5E79CBB9 fcvtas h25, h29 5E79CABA fcvtas h26, h21 5E79CAE8 fcvtas h8, h23 // FCVTAU_32D_float2int 0001111001100101000000xxxxxxxxxx 1E6500EE fcvtau w14, d7 1E6500DA fcvtau w26, d6 1E65008A fcvtau w10, d4 1E650079 fcvtau w25, d3 1E6503D5 fcvtau w21, d30 1E65003E fcvtau w30, d1 1E65018E fcvtau w14, d12 1E65034C fcvtau w12, d26 1E65037D fcvtau w29, d27 1E6500E0 fcvtau w0, d7 1E65023D fcvtau w29, d17 1E65019F fcvtau wzr, d12 1E6501CE fcvtau w14, d14 1E6500A8 fcvtau w8, d5 1E6501DA fcvtau w26, d14 1E650039 fcvtau w25, d1 // FCVTAU_32H_float2int 0001111011100101000000xxxxxxxxxx 1EE50193 fcvtau w19, h12 1EE50218 fcvtau w24, h16 1EE5029D fcvtau w29, h20 1EE503A2 fcvtau w2, h29 1EE50356 fcvtau w22, h26 1EE50089 fcvtau w9, h4 1EE502C1 fcvtau w1, h22 1EE502B4 fcvtau w20, h21 1EE502B7 fcvtau w23, h21 1EE50112 fcvtau w18, h8 1EE50044 fcvtau w4, h2 1EE502B5 fcvtau w21, h21 1EE501B9 fcvtau w25, h13 1EE50162 fcvtau w2, h11 1EE503FF fcvtau wzr, h31 1EE50110 fcvtau w16, h8 // FCVTAU_32S_float2int 0001111000100101000000xxxxxxxxxx 1E25038F fcvtau w15, s28 1E25000A fcvtau w10, s0 1E25004E fcvtau w14, s2 1E250118 fcvtau w24, s8 1E250046 fcvtau w6, s2 1E250035 fcvtau w21, s1 1E250254 fcvtau w20, s18 1E2501A0 fcvtau w0, s13 1E25028E fcvtau w14, s20 1E250115 fcvtau w21, s8 1E2501D9 fcvtau w25, s14 1E250000 fcvtau w0, s0 1E2500BE fcvtau w30, s5 1E250098 fcvtau w24, s4 1E250277 fcvtau w23, s19 1E2502B8 fcvtau w24, s21 // FCVTAU_64D_float2int 1001111001100101000000xxxxxxxxxx 9E65038F fcvtau x15, d28 9E650008 fcvtau x8, d0 9E6502FD fcvtau x29, d23 9E650208 fcvtau x8, d16 9E6501F4 fcvtau x20, d15 9E650182 fcvtau x2, d12 9E650146 fcvtau x6, d10 9E6502A5 fcvtau x5, d21 9E650062 fcvtau x2, d3 9E650140 fcvtau x0, d10 9E650097 fcvtau x23, d4 9E6502AF fcvtau x15, d21 9E6502DC fcvtau x28, d22 9E650047 fcvtau x7, d2 9E65005B fcvtau x27, d2 9E6500F7 fcvtau x23, d7 // FCVTAU_64H_float2int 1001111011100101000000xxxxxxxxxx 9EE5029F fcvtau xzr, h20 9EE50179 fcvtau x25, h11 9EE501B7 fcvtau x23, h13 9EE50111 fcvtau x17, h8 9EE50292 fcvtau x18, h20 9EE503B4 fcvtau x20, h29 9EE50231 fcvtau x17, h17 9EE502A3 fcvtau x3, h21 9EE50328 fcvtau x8, h25 9EE50215 fcvtau x21, h16 9EE50057 fcvtau x23, h2 9EE50299 fcvtau x25, h20 9EE50083 fcvtau x3, h4 9EE5035A fcvtau x26, h26 9EE50049 fcvtau x9, h2 9EE500E1 fcvtau x1, h7 // FCVTAU_64S_float2int 1001111000100101000000xxxxxxxxxx 9E250297 fcvtau x23, s20 9E250098 fcvtau x24, s4 9E2502E5 fcvtau x5, s23 9E250378 fcvtau x24, s27 9E250113 fcvtau x19, s8 9E2503FA fcvtau x26, s31 9E2503AE fcvtau x14, s29 9E25008D fcvtau x13, s4 9E2500A7 fcvtau x7, s5 9E250393 fcvtau x19, s28 9E25016A fcvtau x10, s11 9E2502F4 fcvtau x20, s23 9E2502DB fcvtau x27, s22 9E2500E4 fcvtau x4, s7 9E250356 fcvtau x22, s26 9E250371 fcvtau x17, s27 // FCVTAU_asimdmisc_R 0x1011100x100001110010xxxxxxxxxx 2E21CA1A fcvtau v26.2s, v16.2s 6E21CBE8 fcvtau v8.4s, v31.4s 6E61CBDB fcvtau v27.2d, v30.2d 2E21C950 fcvtau v16.2s, v10.2s 6E61C93E fcvtau v30.2d, v9.2d 2E21C8A2 fcvtau v2.2s, v5.2s 6E61C9E6 fcvtau v6.2d, v15.2d 6E61C990 fcvtau v16.2d, v12.2d 6E21CA86 fcvtau v6.4s, v20.4s 6E21CB1F fcvtau v31.4s, v24.4s 2E21CAC0 fcvtau v0.2s, v22.2s 6E21CA4E fcvtau v14.4s, v18.4s 6E61CBFE fcvtau v30.2d, v31.2d 6E61CADB fcvtau v27.2d, v22.2d 6E21CAE3 fcvtau v3.4s, v23.4s 6E21C8C2 fcvtau v2.4s, v6.4s // FCVTAU_asimdmiscfp16_R 0x10111001111001110010xxxxxxxxxx 6E79C894 fcvtau v20.8h, v4.8h 6E79C95E fcvtau v30.8h, v10.8h 2E79C982 fcvtau v2.4h, v12.4h 2E79C968 fcvtau v8.4h, v11.4h 2E79C97E fcvtau v30.4h, v11.4h 6E79CA84 fcvtau v4.8h, v20.8h 2E79CB1A fcvtau v26.4h, v24.4h 6E79CBF7 fcvtau v23.8h, v31.8h 2E79CA96 fcvtau v22.4h, v20.4h 6E79CAA8 fcvtau v8.8h, v21.8h 2E79C82D fcvtau v13.4h, v1.4h 6E79C954 fcvtau v20.8h, v10.8h 2E79CAB0 fcvtau v16.4h, v21.4h 6E79C869 fcvtau v9.8h, v3.8h 6E79C992 fcvtau v18.8h, v12.8h 6E79CA6B fcvtau v11.8h, v19.8h // FCVTAU_asisdmisc_R 011111100x100001110010xxxxxxxxxx 7E61CBDD fcvtau d29, d30 7E21C880 fcvtau s0, s4 7E61CAAF fcvtau d15, d21 7E21C9B7 fcvtau s23, s13 7E61CA56 fcvtau d22, d18 7E21CBF4 fcvtau s20, s31 7E21CAAA fcvtau s10, s21 7E61CA48 fcvtau d8, d18 7E21C8E3 fcvtau s3, s7 7E21C8D5 fcvtau s21, s6 7E21C91B fcvtau s27, s8 7E21C875 fcvtau s21, s3 7E61CB1E fcvtau d30, d24 7E21C9EE fcvtau s14, s15 7E61CA20 fcvtau d0, d17 7E61C956 fcvtau d22, d10 // FCVTAU_asisdmiscfp16_R 0111111001111001110010xxxxxxxxxx 7E79CA79 fcvtau h25, h19 7E79C965 fcvtau h5, h11 7E79CAD7 fcvtau h23, h22 7E79C8A8 fcvtau h8, h5 7E79CA48 fcvtau h8, h18 7E79CBB0 fcvtau h16, h29 7E79C812 fcvtau h18, h0 7E79C83C fcvtau h28, h1 7E79CB35 fcvtau h21, h25 7E79C8E0 fcvtau h0, h7 7E79C9FC fcvtau h28, h15 7E79CBDD fcvtau h29, h30 7E79C99D fcvtau h29, h12 7E79CBDC fcvtau h28, h30 7E79CBC0 fcvtau h0, h30 7E79CAA3 fcvtau h3, h21 // FCVTL_asimdmisc_L 0x0011100x100001011110xxxxxxxxxx 0E21781E fcvtl v30.4s, v0.4h 4E217B19 fcvtl2 v25.4s, v24.8h 4E217B37 fcvtl2 v23.4s, v25.8h 4E617AC1 fcvtl2 v1.2d, v22.4s 0E217AC2 fcvtl v2.4s, v22.4h 4E217B55 fcvtl2 v21.4s, v26.8h 4E617957 fcvtl2 v23.2d, v10.4s 0E6179D6 fcvtl v22.2d, v14.2s 4E617A63 fcvtl2 v3.2d, v19.4s 0E617A8E fcvtl v14.2d, v20.2s 0E617BED fcvtl v13.2d, v31.2s 0E6179DB fcvtl v27.2d, v14.2s 4E61787A fcvtl2 v26.2d, v3.4s 0E217A0D fcvtl v13.4s, v16.4h 4E217B1A fcvtl2 v26.4s, v24.8h 0E2179D6 fcvtl v22.4s, v14.4h // FCVTMS_32D_float2int 0001111001110000000000xxxxxxxxxx 1E700221 fcvtms w1, d17 1E7000E7 fcvtms w7, d7 1E700202 fcvtms w2, d16 1E7003A4 fcvtms w4, d29 1E7002C2 fcvtms w2, d22 1E700291 fcvtms w17, d20 1E700229 fcvtms w9, d17 1E700143 fcvtms w3, d10 1E700255 fcvtms w21, d18 1E700214 fcvtms w20, d16 1E7003F3 fcvtms w19, d31 1E700150 fcvtms w16, d10 1E700091 fcvtms w17, d4 1E700008 fcvtms w8, d0 1E700169 fcvtms w9, d11 1E70036A fcvtms w10, d27 // FCVTMS_32H_float2int 0001111011110000000000xxxxxxxxxx 1EF00169 fcvtms w9, h11 1EF00287 fcvtms w7, h20 1EF00139 fcvtms w25, h9 1EF001C7 fcvtms w7, h14 1EF0021B fcvtms w27, h16 1EF00366 fcvtms w6, h27 1EF00095 fcvtms w21, h4 1EF0035B fcvtms w27, h26 1EF0005D fcvtms w29, h2 1EF000A6 fcvtms w6, h5 1EF000AE fcvtms w14, h5 1EF000E3 fcvtms w3, h7 1EF0034D fcvtms w13, h26 1EF00257 fcvtms w23, h18 1EF003E9 fcvtms w9, h31 1EF001FD fcvtms w29, h15 // FCVTMS_32S_float2int 0001111000110000000000xxxxxxxxxx 1E300393 fcvtms w19, s28 1E300350 fcvtms w16, s26 1E3000EB fcvtms w11, s7 1E3001D7 fcvtms w23, s14 1E30010B fcvtms w11, s8 1E300039 fcvtms w25, s1 1E3000D6 fcvtms w22, s6 1E300382 fcvtms w2, s28 1E300338 fcvtms w24, s25 1E300378 fcvtms w24, s27 1E3003F3 fcvtms w19, s31 1E3001D9 fcvtms w25, s14 1E30012C fcvtms w12, s9 1E3000FB fcvtms w27, s7 1E300220 fcvtms w0, s17 1E3001F5 fcvtms w21, s15 // FCVTMS_64D_float2int 1001111001110000000000xxxxxxxxxx 9E7003D6 fcvtms x22, d30 9E7002D6 fcvtms x22, d22 9E700273 fcvtms x19, d19 9E7000AC fcvtms x12, d5 9E70025B fcvtms x27, d18 9E700262 fcvtms x2, d19 9E700209 fcvtms x9, d16 9E700098 fcvtms x24, d4 9E7002CC fcvtms x12, d22 9E70035E fcvtms x30, d26 9E70019E fcvtms x30, d12 9E700193 fcvtms x19, d12 9E70014B fcvtms x11, d10 9E700171 fcvtms x17, d11 9E700382 fcvtms x2, d28 9E70017F fcvtms xzr, d11 // FCVTMS_64H_float2int 1001111011110000000000xxxxxxxxxx 9EF003BD fcvtms x29, h29 9EF001A0 fcvtms x0, h13 9EF000D9 fcvtms x25, h6 9EF00014 fcvtms x20, h0 9EF003F8 fcvtms x24, h31 9EF000D4 fcvtms x20, h6 9EF00181 fcvtms x1, h12 9EF00360 fcvtms x0, h27 9EF00091 fcvtms x17, h4 9EF002FF fcvtms xzr, h23 9EF000CB fcvtms x11, h6 9EF000C9 fcvtms x9, h6 9EF0025D fcvtms x29, h18 9EF00038 fcvtms x24, h1 9EF000B4 fcvtms x20, h5 9EF002C2 fcvtms x2, h22 // FCVTMS_64S_float2int 1001111000110000000000xxxxxxxxxx 9E30006D fcvtms x13, s3 9E3001BE fcvtms x30, s13 9E300234 fcvtms x20, s17 9E3001E6 fcvtms x6, s15 9E30033F fcvtms xzr, s25 9E300294 fcvtms x20, s20 9E30011C fcvtms x28, s8 9E300006 fcvtms x6, s0 9E3001FC fcvtms x28, s15 9E3000F9 fcvtms x25, s7 9E300289 fcvtms x9, s20 9E30010C fcvtms x12, s8 9E3002A1 fcvtms x1, s21 9E300076 fcvtms x22, s3 9E300074 fcvtms x20, s3 9E3002BF fcvtms xzr, s21 // FCVTMS_asimdmisc_R 0x0011100x100001101110xxxxxxxxxx 4E21BB9F fcvtms v31.4s, v28.4s 0E21B99F fcvtms v31.2s, v12.2s 0E21B8F5 fcvtms v21.2s, v7.2s 4E21BAE8 fcvtms v8.4s, v23.4s 4E21B8A1 fcvtms v1.4s, v5.4s 0E21B94C fcvtms v12.2s, v10.2s 4E61B899 fcvtms v25.2d, v4.2d 4E61BA88 fcvtms v8.2d, v20.2d 4E61BBCA fcvtms v10.2d, v30.2d 4E61B8E4 fcvtms v4.2d, v7.2d 4E21BAEA fcvtms v10.4s, v23.4s 0E21B834 fcvtms v20.2s, v1.2s 4E21BABC fcvtms v28.4s, v21.4s 4E61BAE0 fcvtms v0.2d, v23.2d 0E21B85D fcvtms v29.2s, v2.2s 4E61BAF4 fcvtms v20.2d, v23.2d // FCVTMS_asimdmiscfp16_R 0x00111001111001101110xxxxxxxxxx 0E79B972 fcvtms v18.4h, v11.4h 0E79BB91 fcvtms v17.4h, v28.4h 4E79BAD5 fcvtms v21.8h, v22.8h 4E79B946 fcvtms v6.8h, v10.8h 4E79B84D fcvtms v13.8h, v2.8h 0E79B902 fcvtms v2.4h, v8.4h 4E79BAEA fcvtms v10.8h, v23.8h 0E79BADC fcvtms v28.4h, v22.4h 4E79B8C7 fcvtms v7.8h, v6.8h 4E79B9CE fcvtms v14.8h, v14.8h 4E79B933 fcvtms v19.8h, v9.8h 4E79BBF3 fcvtms v19.8h, v31.8h 4E79B962 fcvtms v2.8h, v11.8h 0E79BA44 fcvtms v4.4h, v18.4h 4E79BA8E fcvtms v14.8h, v20.8h 4E79B985 fcvtms v5.8h, v12.8h // FCVTMS_asisdmisc_R 010111100x100001101110xxxxxxxxxx 5E61BB81 fcvtms d1, d28 5E21B9FB fcvtms s27, s15 5E61BAE9 fcvtms d9, d23 5E61BBA1 fcvtms d1, d29 5E21BB49 fcvtms s9, s26 5E61BB57 fcvtms d23, d26 5E61B888 fcvtms d8, d4 5E21BA9A fcvtms s26, s20 5E61B9FC fcvtms d28, d15 5E21BB9C fcvtms s28, s28 5E21B80E fcvtms s14, s0 5E21B84B fcvtms s11, s2 5E61BB82 fcvtms d2, d28 5E21B9D7 fcvtms s23, s14 5E61BB45 fcvtms d5, d26 5E61BB6D fcvtms d13, d27 // FCVTMS_asisdmiscfp16_R 0101111001111001101110xxxxxxxxxx 5E79B9C9 fcvtms h9, h14 5E79BB40 fcvtms h0, h26 5E79BAAC fcvtms h12, h21 5E79B82A fcvtms h10, h1 5E79BBA1 fcvtms h1, h29 5E79B8A6 fcvtms h6, h5 5E79B8F6 fcvtms h22, h7 5E79BA22 fcvtms h2, h17 5E79BAB2 fcvtms h18, h21 5E79BBB3 fcvtms h19, h29 5E79BAE6 fcvtms h6, h23 5E79BB51 fcvtms h17, h26 5E79B921 fcvtms h1, h9 5E79BB02 fcvtms h2, h24 5E79BADC fcvtms h28, h22 5E79B840 fcvtms h0, h2 // FCVTMU_32D_float2int 0001111001110001000000xxxxxxxxxx 1E710061 fcvtmu w1, d3 1E7101A5 fcvtmu w5, d13 1E7102B6 fcvtmu w22, d21 1E710208 fcvtmu w8, d16 1E710066 fcvtmu w6, d3 1E71038E fcvtmu w14, d28 1E7102C7 fcvtmu w7, d22 1E7103DD fcvtmu w29, d30 1E7103C8 fcvtmu w8, d30 1E7102AD fcvtmu w13, d21 1E710270 fcvtmu w16, d19 1E710151 fcvtmu w17, d10 1E7101E9 fcvtmu w9, d15 1E710062 fcvtmu w2, d3 1E710236 fcvtmu w22, d17 1E7103EB fcvtmu w11, d31 // FCVTMU_32H_float2int 0001111011110001000000xxxxxxxxxx 1EF101BA fcvtmu w26, h13 1EF10302 fcvtmu w2, h24 1EF10373 fcvtmu w19, h27 1EF1034D fcvtmu w13, h26 1EF1029E fcvtmu w30, h20 1EF1015F fcvtmu wzr, h10 1EF103AD fcvtmu w13, h29 1EF10146 fcvtmu w6, h10 1EF10206 fcvtmu w6, h16 1EF100EC fcvtmu w12, h7 1EF103C7 fcvtmu w7, h30 1EF100A5 fcvtmu w5, h5 1EF10385 fcvtmu w5, h28 1EF1025D fcvtmu w29, h18 1EF1004D fcvtmu w13, h2 1EF1037D fcvtmu w29, h27 // FCVTMU_32S_float2int 0001111000110001000000xxxxxxxxxx 1E3102E5 fcvtmu w5, s23 1E3103FC fcvtmu w28, s31 1E310157 fcvtmu w23, s10 1E31006D fcvtmu w13, s3 1E31007A fcvtmu w26, s3 1E310141 fcvtmu w1, s10 1E310062 fcvtmu w2, s3 1E310263 fcvtmu w3, s19 1E310156 fcvtmu w22, s10 1E31023B fcvtmu w27, s17 1E3103E0 fcvtmu w0, s31 1E310243 fcvtmu w3, s18 1E310009 fcvtmu w9, s0 1E310026 fcvtmu w6, s1 1E3100F3 fcvtmu w19, s7 1E310224 fcvtmu w4, s17 // FCVTMU_64D_float2int 1001111001110001000000xxxxxxxxxx 9E71031A fcvtmu x26, d24 9E710285 fcvtmu x5, d20 9E710133 fcvtmu x19, d9 9E710112 fcvtmu x18, d8 9E7101C5 fcvtmu x5, d14 9E71024E fcvtmu x14, d18 9E7101AA fcvtmu x10, d13 9E7101FC fcvtmu x28, d15 9E710030 fcvtmu x16, d1 9E71018E fcvtmu x14, d12 9E7103AE fcvtmu x14, d29 9E71027E fcvtmu x30, d19 9E710386 fcvtmu x6, d28 9E71025F fcvtmu xzr, d18 9E710068 fcvtmu x8, d3 9E7103E6 fcvtmu x6, d31 // FCVTMU_64H_float2int 1001111011110001000000xxxxxxxxxx 9EF10070 fcvtmu x16, h3 9EF10294 fcvtmu x20, h20 9EF1012B fcvtmu x11, h9 9EF101FA fcvtmu x26, h15 9EF10377 fcvtmu x23, h27 9EF1039D fcvtmu x29, h28 9EF1038F fcvtmu x15, h28 9EF1020C fcvtmu x12, h16 9EF100BB fcvtmu x27, h5 9EF1003D fcvtmu x29, h1 9EF10058 fcvtmu x24, h2 9EF101D6 fcvtmu x22, h14 9EF10257 fcvtmu x23, h18 9EF10284 fcvtmu x4, h20 9EF10325 fcvtmu x5, h25 9EF10029 fcvtmu x9, h1 // FCVTMU_64S_float2int 1001111000110001000000xxxxxxxxxx 9E31007F fcvtmu xzr, s3 9E310317 fcvtmu x23, s24 9E3102E9 fcvtmu x9, s23 9E31005E fcvtmu x30, s2 9E310167 fcvtmu x7, s11 9E31021F fcvtmu xzr, s16 9E310198 fcvtmu x24, s12 9E310396 fcvtmu x22, s28 9E3102CD fcvtmu x13, s22 9E31038D fcvtmu x13, s28 9E31039F fcvtmu xzr, s28 9E31031F fcvtmu xzr, s24 9E31018A fcvtmu x10, s12 9E3103C1 fcvtmu x1, s30 9E310033 fcvtmu x19, s1 9E310060 fcvtmu x0, s3 // FCVTMU_asimdmisc_R 0x1011100x100001101110xxxxxxxxxx 6E21B834 fcvtmu v20.4s, v1.4s 6E61BA7D fcvtmu v29.2d, v19.2d 6E21BAE6 fcvtmu v6.4s, v23.4s 6E21BA86 fcvtmu v6.4s, v20.4s 2E21BBC0 fcvtmu v0.2s, v30.2s 6E21BA71 fcvtmu v17.4s, v19.4s 6E61BA00 fcvtmu v0.2d, v16.2d 6E61B812 fcvtmu v18.2d, v0.2d 6E21BAFD fcvtmu v29.4s, v23.4s 6E21BA47 fcvtmu v7.4s, v18.4s 6E61BB2D fcvtmu v13.2d, v25.2d 6E61B839 fcvtmu v25.2d, v1.2d 6E21B8C7 fcvtmu v7.4s, v6.4s 2E21B81B fcvtmu v27.2s, v0.2s 6E21BB4C fcvtmu v12.4s, v26.4s 2E21B95A fcvtmu v26.2s, v10.2s // FCVTMU_asimdmiscfp16_R 0x10111001111001101110xxxxxxxxxx 2E79BBB3 fcvtmu v19.4h, v29.4h 2E79B92A fcvtmu v10.4h, v9.4h 6E79BB47 fcvtmu v7.8h, v26.8h 2E79BB21 fcvtmu v1.4h, v25.4h 2E79B9B6 fcvtmu v22.4h, v13.4h 2E79BA7D fcvtmu v29.4h, v19.4h 2E79BB24 fcvtmu v4.4h, v25.4h 6E79BA50 fcvtmu v16.8h, v18.8h 6E79B8E3 fcvtmu v3.8h, v7.8h 6E79BB60 fcvtmu v0.8h, v27.8h 6E79B8B9 fcvtmu v25.8h, v5.8h 2E79B95C fcvtmu v28.4h, v10.4h 2E79BB8F fcvtmu v15.4h, v28.4h 6E79B976 fcvtmu v22.8h, v11.8h 2E79B8B7 fcvtmu v23.4h, v5.4h 6E79B970 fcvtmu v16.8h, v11.8h // FCVTMU_asisdmisc_R 011111100x100001101110xxxxxxxxxx 7E61BBED fcvtmu d13, d31 7E21BB6A fcvtmu s10, s27 7E21BA68 fcvtmu s8, s19 7E61B9C5 fcvtmu d5, d14 7E21BB17 fcvtmu s23, s24 7E61B9EB fcvtmu d11, d15 7E61BA78 fcvtmu d24, d19 7E61BB89 fcvtmu d9, d28 7E61B8C7 fcvtmu d7, d6 7E21BA99 fcvtmu s25, s20 7E61B9F1 fcvtmu d17, d15 7E21BBA7 fcvtmu s7, s29 7E21BADD fcvtmu s29, s22 7E21B8D5 fcvtmu s21, s6 7E61BA89 fcvtmu d9, d20 7E61B92B fcvtmu d11, d9 // FCVTMU_asisdmiscfp16_R 0111111001111001101110xxxxxxxxxx 7E79B9C1 fcvtmu h1, h14 7E79B85C fcvtmu h28, h2 7E79BBB1 fcvtmu h17, h29 7E79BB4E fcvtmu h14, h26 7E79BA85 fcvtmu h5, h20 7E79B8BB fcvtmu h27, h5 7E79B8A2 fcvtmu h2, h5 7E79B9CF fcvtmu h15, h14 7E79BBD6 fcvtmu h22, h30 7E79BA48 fcvtmu h8, h18 7E79B887 fcvtmu h7, h4 7E79BAF8 fcvtmu h24, h23 7E79BB89 fcvtmu h9, h28 7E79BBF2 fcvtmu h18, h31 7E79BAEC fcvtmu h12, h23 7E79B913 fcvtmu h19, h8 // FCVTNS_32D_float2int 0001111001100000000000xxxxxxxxxx 1E600046 fcvtns w6, d2 1E6001C6 fcvtns w6, d14 1E6001E7 fcvtns w7, d15 1E600266 fcvtns w6, d19 1E6000C2 fcvtns w2, d6 1E600201 fcvtns w1, d16 1E6001F9 fcvtns w25, d15 1E6001BA fcvtns w26, d13 1E6000E8 fcvtns w8, d7 1E6001B7 fcvtns w23, d13 1E600080 fcvtns w0, d4 1E6000A1 fcvtns w1, d5 1E600061 fcvtns w1, d3 1E600056 fcvtns w22, d2 1E60005C fcvtns w28, d2 1E6003A0 fcvtns w0, d29 // FCVTNS_32H_float2int 0001111011100000000000xxxxxxxxxx 1EE002E9 fcvtns w9, h23 1EE00183 fcvtns w3, h12 1EE00058 fcvtns w24, h2 1EE001BA fcvtns w26, h13 1EE0003D fcvtns w29, h1 1EE0039E fcvtns w30, h28 1EE0018C fcvtns w12, h12 1EE000F4 fcvtns w20, h7 1EE00250 fcvtns w16, h18 1EE0018F fcvtns w15, h12 1EE00063 fcvtns w3, h3 1EE003AA fcvtns w10, h29 1EE00216 fcvtns w22, h16 1EE0015B fcvtns w27, h10 1EE00212 fcvtns w18, h16 1EE002A7 fcvtns w7, h21 // FCVTNS_32S_float2int 0001111000100000000000xxxxxxxxxx 1E2000BC fcvtns w28, s5 1E20009D fcvtns w29, s4 1E200047 fcvtns w7, s2 1E200138 fcvtns w24, s9 1E2002BC fcvtns w28, s21 1E20008C fcvtns w12, s4 1E200108 fcvtns w8, s8 1E2000E2 fcvtns w2, s7 1E2002BA fcvtns w26, s21 1E20004C fcvtns w12, s2 1E2002DF fcvtns wzr, s22 1E200388 fcvtns w8, s28 1E200062 fcvtns w2, s3 1E2002F2 fcvtns w18, s23 1E2000DC fcvtns w28, s6 1E2000D7 fcvtns w23, s6 // FCVTNS_64D_float2int 1001111001100000000000xxxxxxxxxx 9E6000FF fcvtns xzr, d7 9E600323 fcvtns x3, d25 9E6003C0 fcvtns x0, d30 9E600328 fcvtns x8, d25 9E600092 fcvtns x18, d4 9E60034A fcvtns x10, d26 9E6002A2 fcvtns x2, d21 9E6002C0 fcvtns x0, d22 9E6002E2 fcvtns x2, d23 9E6001A3 fcvtns x3, d13 9E60019E fcvtns x30, d12 9E60016F fcvtns x15, d11 9E6001E3 fcvtns x3, d15 9E60011C fcvtns x28, d8 9E600383 fcvtns x3, d28 9E600172 fcvtns x18, d11 // FCVTNS_64H_float2int 1001111011100000000000xxxxxxxxxx 9EE000B6 fcvtns x22, h5 9EE0029D fcvtns x29, h20 9EE00188 fcvtns x8, h12 9EE003D5 fcvtns x21, h30 9EE0005E fcvtns x30, h2 9EE000CA fcvtns x10, h6 9EE00009 fcvtns x9, h0 9EE0018C fcvtns x12, h12 9EE003AD fcvtns x13, h29 9EE0027A fcvtns x26, h19 9EE003ED fcvtns x13, h31 9EE0011E fcvtns x30, h8 9EE0014A fcvtns x10, h10 9EE00346 fcvtns x6, h26 9EE00114 fcvtns x20, h8 9EE000A9 fcvtns x9, h5 // FCVTNS_64S_float2int 1001111000100000000000xxxxxxxxxx 9E200394 fcvtns x20, s28 9E200080 fcvtns x0, s4 9E2000FA fcvtns x26, s7 9E200362 fcvtns x2, s27 9E200168 fcvtns x8, s11 9E20031A fcvtns x26, s24 9E2003AF fcvtns x15, s29 9E20035A fcvtns x26, s26 9E2001DC fcvtns x28, s14 9E2000ED fcvtns x13, s7 9E2001CC fcvtns x12, s14 9E2001DB fcvtns x27, s14 9E200330 fcvtns x16, s25 9E2000C9 fcvtns x9, s6 9E2000E3 fcvtns x3, s7 9E2003F5 fcvtns x21, s31 // FCVTNS_asimdmisc_R 0x0011100x100001101010xxxxxxxxxx 4E21AAA3 fcvtns v3.4s, v21.4s 0E21A92A fcvtns v10.2s, v9.2s 4E21AB52 fcvtns v18.4s, v26.4s 0E21A898 fcvtns v24.2s, v4.2s 0E21AB8B fcvtns v11.2s, v28.2s 4E21ABA3 fcvtns v3.4s, v29.4s 4E21A83C fcvtns v28.4s, v1.4s 4E21AB97 fcvtns v23.4s, v28.4s 4E21A9A5 fcvtns v5.4s, v13.4s 4E61A9D7 fcvtns v23.2d, v14.2d 0E21A9D9 fcvtns v25.2s, v14.2s 4E21AACD fcvtns v13.4s, v22.4s 4E61A9AC fcvtns v12.2d, v13.2d 4E21AAB4 fcvtns v20.4s, v21.4s 4E21A87A fcvtns v26.4s, v3.4s 4E61AB7B fcvtns v27.2d, v27.2d // FCVTNS_asimdmiscfp16_R 0x00111001111001101010xxxxxxxxxx 0E79A836 fcvtns v22.4h, v1.4h 4E79AA2C fcvtns v12.8h, v17.8h 4E79A850 fcvtns v16.8h, v2.8h 0E79A9BB fcvtns v27.4h, v13.4h 4E79A8BF fcvtns v31.8h, v5.8h 4E79A823 fcvtns v3.8h, v1.8h 4E79AB64 fcvtns v4.8h, v27.8h 4E79A887 fcvtns v7.8h, v4.8h 0E79AA6F fcvtns v15.4h, v19.4h 0E79A873 fcvtns v19.4h, v3.4h 0E79AAC8 fcvtns v8.4h, v22.4h 0E79AA2D fcvtns v13.4h, v17.4h 4E79A9A0 fcvtns v0.8h, v13.8h 0E79ABA9 fcvtns v9.4h, v29.4h 0E79A9E8 fcvtns v8.4h, v15.4h 4E79AAD3 fcvtns v19.8h, v22.8h // FCVTNS_asisdmisc_R 010111100x100001101010xxxxxxxxxx 5E21A812 fcvtns s18, s0 5E61AA07 fcvtns d7, d16 5E21A9BB fcvtns s27, s13 5E21A993 fcvtns s19, s12 5E61A890 fcvtns d16, d4 5E61AAB3 fcvtns d19, d21 5E61A97A fcvtns d26, d11 5E61AA01 fcvtns d1, d16 5E21A96B fcvtns s11, s11 5E21A938 fcvtns s24, s9 5E61AB27 fcvtns d7, d25 5E21AA7B fcvtns s27, s19 5E21ABE3 fcvtns s3, s31 5E61A91A fcvtns d26, d8 5E21AAD3 fcvtns s19, s22 5E61A91B fcvtns d27, d8 // FCVTNS_asisdmiscfp16_R 0101111001111001101010xxxxxxxxxx 5E79AA89 fcvtns h9, h20 5E79ABE7 fcvtns h7, h31 5E79AA3C fcvtns h28, h17 5E79AB98 fcvtns h24, h28 5E79AB5C fcvtns h28, h26 5E79A904 fcvtns h4, h8 5E79A9E4 fcvtns h4, h15 5E79A83E fcvtns h30, h1 5E79AA30 fcvtns h16, h17 5E79A93A fcvtns h26, h9 5E79AB91 fcvtns h17, h28 5E79AB7A fcvtns h26, h27 5E79A8B6 fcvtns h22, h5 5E79AA29 fcvtns h9, h17 5E79A98D fcvtns h13, h12 5E79AB5D fcvtns h29, h26 // FCVTNU_32D_float2int 0001111001100001000000xxxxxxxxxx 1E610251 fcvtnu w17, d18 1E61021E fcvtnu w30, d16 1E610384 fcvtnu w4, d28 1E61004F fcvtnu w15, d2 1E610307 fcvtnu w7, d24 1E61005A fcvtnu w26, d2 1E610304 fcvtnu w4, d24 1E61006F fcvtnu w15, d3 1E610055 fcvtnu w21, d2 1E610078 fcvtnu w24, d3 1E610142 fcvtnu w2, d10 1E6100C7 fcvtnu w7, d6 1E610212 fcvtnu w18, d16 1E610392 fcvtnu w18, d28 1E61037B fcvtnu w27, d27 1E6102DE fcvtnu w30, d22 // FCVTNU_32H_float2int 0001111011100001000000xxxxxxxxxx 1EE103D2 fcvtnu w18, h30 1EE103CA fcvtnu w10, h30 1EE1007A fcvtnu w26, h3 1EE10320 fcvtnu w0, h25 1EE10165 fcvtnu w5, h11 1EE1035A fcvtnu w26, h26 1EE1034C fcvtnu w12, h26 1EE10399 fcvtnu w25, h28 1EE10354 fcvtnu w20, h26 1EE102E1 fcvtnu w1, h23 1EE1012A fcvtnu w10, h9 1EE10138 fcvtnu w24, h9 1EE10073 fcvtnu w19, h3 1EE10287 fcvtnu w7, h20 1EE10175 fcvtnu w21, h11 1EE102AF fcvtnu w15, h21 // FCVTNU_32S_float2int 0001111000100001000000xxxxxxxxxx 1E2100DB fcvtnu w27, s6 1E2101C4 fcvtnu w4, s14 1E21037C fcvtnu w28, s27 1E2101AC fcvtnu w12, s13 1E21034C fcvtnu w12, s26 1E210072 fcvtnu w18, s3 1E2100AF fcvtnu w15, s5 1E21037E fcvtnu w30, s27 1E2101D6 fcvtnu w22, s14 1E210354 fcvtnu w20, s26 1E2100D7 fcvtnu w23, s6 1E2102F9 fcvtnu w25, s23 1E2103C0 fcvtnu w0, s30 1E210282 fcvtnu w2, s20 1E21031B fcvtnu w27, s24 1E2103A8 fcvtnu w8, s29 // FCVTNU_64D_float2int 1001111001100001000000xxxxxxxxxx 9E61016A fcvtnu x10, d11 9E610362 fcvtnu x2, d27 9E61033B fcvtnu x27, d25 9E6103FB fcvtnu x27, d31 9E610255 fcvtnu x21, d18 9E610348 fcvtnu x8, d26 9E61004E fcvtnu x14, d2 9E61010B fcvtnu x11, d8 9E6101EA fcvtnu x10, d15 9E6100BA fcvtnu x26, d5 9E6100AF fcvtnu x15, d5 9E610099 fcvtnu x25, d4 9E610220 fcvtnu x0, d17 9E610079 fcvtnu x25, d3 9E61012B fcvtnu x11, d9 9E610308 fcvtnu x8, d24 // FCVTNU_64H_float2int 1001111011100001000000xxxxxxxxxx 9EE103A4 fcvtnu x4, h29 9EE10149 fcvtnu x9, h10 9EE100EA fcvtnu x10, h7 9EE10349 fcvtnu x9, h26 9EE1012B fcvtnu x11, h9 9EE102FA fcvtnu x26, h23 9EE101C9 fcvtnu x9, h14 9EE10130 fcvtnu x16, h9 9EE1008A fcvtnu x10, h4 9EE10044 fcvtnu x4, h2 9EE102D5 fcvtnu x21, h22 9EE1014C fcvtnu x12, h10 9EE100ED fcvtnu x13, h7 9EE1029F fcvtnu xzr, h20 9EE1029C fcvtnu x28, h20 9EE1022B fcvtnu x11, h17 // FCVTNU_64S_float2int 1001111000100001000000xxxxxxxxxx 9E210071 fcvtnu x17, s3 9E21025E fcvtnu x30, s18 9E2102B4 fcvtnu x20, s21 9E21033C fcvtnu x28, s25 9E2102EB fcvtnu x11, s23 9E21034E fcvtnu x14, s26 9E2102C9 fcvtnu x9, s22 9E2102FE fcvtnu x30, s23 9E2103EB fcvtnu x11, s31 9E2100DB fcvtnu x27, s6 9E21035B fcvtnu x27, s26 9E210246 fcvtnu x6, s18 9E21006A fcvtnu x10, s3 9E2101F8 fcvtnu x24, s15 9E2101BD fcvtnu x29, s13 9E210227 fcvtnu x7, s17 // FCVTNU_asimdmisc_R 0x1011100x100001101010xxxxxxxxxx 6E21AADD fcvtnu v29.4s, v22.4s 2E21A9F8 fcvtnu v24.2s, v15.2s 6E21A97E fcvtnu v30.4s, v11.4s 6E21AA45 fcvtnu v5.4s, v18.4s 6E21A8DA fcvtnu v26.4s, v6.4s 6E61A980 fcvtnu v0.2d, v12.2d 6E21A918 fcvtnu v24.4s, v8.4s 6E21AA85 fcvtnu v5.4s, v20.4s 6E61AB23 fcvtnu v3.2d, v25.2d 6E61A997 fcvtnu v23.2d, v12.2d 6E21AA74 fcvtnu v20.4s, v19.4s 6E21A90F fcvtnu v15.4s, v8.4s 6E61A90E fcvtnu v14.2d, v8.2d 2E21AA91 fcvtnu v17.2s, v20.2s 6E61A9E8 fcvtnu v8.2d, v15.2d 6E21ABD4 fcvtnu v20.4s, v30.4s // FCVTNU_asimdmiscfp16_R 0x10111001111001101010xxxxxxxxxx 6E79A8A8 fcvtnu v8.8h, v5.8h 2E79A84A fcvtnu v10.4h, v2.4h 6E79ABEA fcvtnu v10.8h, v31.8h 6E79A8F8 fcvtnu v24.8h, v7.8h 6E79AB03 fcvtnu v3.8h, v24.8h 2E79AB2C fcvtnu v12.4h, v25.4h 2E79AB57 fcvtnu v23.4h, v26.4h 6E79AB70 fcvtnu v16.8h, v27.8h 2E79AAB7 fcvtnu v23.4h, v21.4h 6E79AAFF fcvtnu v31.8h, v23.8h 2E79A9D6 fcvtnu v22.4h, v14.4h 2E79AA22 fcvtnu v2.4h, v17.4h 2E79A92D fcvtnu v13.4h, v9.4h 2E79A9C0 fcvtnu v0.4h, v14.4h 2E79AA39 fcvtnu v25.4h, v17.4h 2E79A9CA fcvtnu v10.4h, v14.4h // FCVTNU_asisdmisc_R 011111100x100001101010xxxxxxxxxx 7E21A829 fcvtnu s9, s1 7E21A9CD fcvtnu s13, s14 7E61A8AC fcvtnu d12, d5 7E61A86E fcvtnu d14, d3 7E61ABD5 fcvtnu d21, d30 7E21AA13 fcvtnu s19, s16 7E61A8D9 fcvtnu d25, d6 7E21A886 fcvtnu s6, s4 7E21AAD6 fcvtnu s22, s22 7E21A84F fcvtnu s15, s2 7E21AA82 fcvtnu s2, s20 7E61AB18 fcvtnu d24, d24 7E61A9F0 fcvtnu d16, d15 7E61ABA1 fcvtnu d1, d29 7E61AAD6 fcvtnu d22, d22 7E21AB44 fcvtnu s4, s26 // FCVTNU_asisdmiscfp16_R 0111111001111001101010xxxxxxxxxx 7E79A8C6 fcvtnu h6, h6 7E79AB26 fcvtnu h6, h25 7E79AA44 fcvtnu h4, h18 7E79AA76 fcvtnu h22, h19 7E79A976 fcvtnu h22, h11 7E79ABD4 fcvtnu h20, h30 7E79AA7D fcvtnu h29, h19 7E79A952 fcvtnu h18, h10 7E79A966 fcvtnu h6, h11 7E79A99E fcvtnu h30, h12 7E79AA15 fcvtnu h21, h16 7E79AAB2 fcvtnu h18, h21 7E79AAA9 fcvtnu h9, h21 7E79AB68 fcvtnu h8, h27 7E79A884 fcvtnu h4, h4 7E79A91E fcvtnu h30, h8 // FCVTN_asimdmisc_N 0x0011100x100001011010xxxxxxxxxx 0E6169A2 fcvtn v2.2s, v13.2d 0E6169CF fcvtn v15.2s, v14.2d 0E616913 fcvtn v19.2s, v8.2d 0E616B05 fcvtn v5.2s, v24.2d 0E6168A0 fcvtn v0.2s, v5.2d 4E216B5A fcvtn2 v26.8h, v26.4s 4E216B4E fcvtn2 v14.8h, v26.4s 4E216840 fcvtn2 v0.8h, v2.4s 4E6169D3 fcvtn2 v19.4s, v14.2d 0E216B1F fcvtn v31.4h, v24.4s 0E616984 fcvtn v4.2s, v12.2d 0E61698E fcvtn v14.2s, v12.2d 4E616BD8 fcvtn2 v24.4s, v30.2d 0E216A99 fcvtn v25.4h, v20.4s 0E616818 fcvtn v24.2s, v0.2d 4E616B72 fcvtn2 v18.4s, v27.2d // FCVTPS_32D_float2int 0001111001101000000000xxxxxxxxxx 1E680176 fcvtps w22, d11 1E6803B1 fcvtps w17, d29 1E680394 fcvtps w20, d28 1E6802B6 fcvtps w22, d21 1E680167 fcvtps w7, d11 1E6803AA fcvtps w10, d29 1E6803FB fcvtps w27, d31 1E6803EC fcvtps w12, d31 1E68031A fcvtps w26, d24 1E68012D fcvtps w13, d9 1E680123 fcvtps w3, d9 1E6803C6 fcvtps w6, d30 1E68035E fcvtps w30, d26 1E68039D fcvtps w29, d28 1E680358 fcvtps w24, d26 1E68002C fcvtps w12, d1 // FCVTPS_32H_float2int 0001111011101000000000xxxxxxxxxx 1EE80049 fcvtps w9, h2 1EE8007F fcvtps wzr, h3 1EE80325 fcvtps w5, h25 1EE80069 fcvtps w9, h3 1EE80255 fcvtps w21, h18 1EE80102 fcvtps w2, h8 1EE80356 fcvtps w22, h26 1EE800D4 fcvtps w20, h6 1EE802DB fcvtps w27, h22 1EE80340 fcvtps w0, h26 1EE8009B fcvtps w27, h4 1EE801F4 fcvtps w20, h15 1EE802EC fcvtps w12, h23 1EE80150 fcvtps w16, h10 1EE802B5 fcvtps w21, h21 1EE801EC fcvtps w12, h15 // FCVTPS_32S_float2int 0001111000101000000000xxxxxxxxxx 1E280177 fcvtps w23, s11 1E2801C3 fcvtps w3, s14 1E28032D fcvtps w13, s25 1E28004E fcvtps w14, s2 1E2802F1 fcvtps w17, s23 1E2803A4 fcvtps w4, s29 1E280262 fcvtps w2, s19 1E28009F fcvtps wzr, s4 1E28010D fcvtps w13, s8 1E2802FF fcvtps wzr, s23 1E280392 fcvtps w18, s28 1E280292 fcvtps w18, s20 1E280119 fcvtps w25, s8 1E280144 fcvtps w4, s10 1E28029F fcvtps wzr, s20 1E280338 fcvtps w24, s25 // FCVTPS_64D_float2int 1001111001101000000000xxxxxxxxxx 9E6802BC fcvtps x28, d21 9E68035F fcvtps xzr, d26 9E6800EF fcvtps x15, d7 9E6801C1 fcvtps x1, d14 9E6801DB fcvtps x27, d14 9E68010D fcvtps x13, d8 9E68039C fcvtps x28, d28 9E68031A fcvtps x26, d24 9E680080 fcvtps x0, d4 9E6801F4 fcvtps x20, d15 9E68026F fcvtps x15, d19 9E6802B7 fcvtps x23, d21 9E680015 fcvtps x21, d0 9E680113 fcvtps x19, d8 9E680380 fcvtps x0, d28 9E68024E fcvtps x14, d18 // FCVTPS_64H_float2int 1001111011101000000000xxxxxxxxxx 9EE801E2 fcvtps x2, h15 9EE8011D fcvtps x29, h8 9EE803E2 fcvtps x2, h31 9EE800CB fcvtps x11, h6 9EE803A8 fcvtps x8, h29 9EE803BD fcvtps x29, h29 9EE800F3 fcvtps x19, h7 9EE8035F fcvtps xzr, h26 9EE80200 fcvtps x0, h16 9EE803A6 fcvtps x6, h29 9EE80337 fcvtps x23, h25 9EE8029D fcvtps x29, h20 9EE80014 fcvtps x20, h0 9EE80211 fcvtps x17, h16 9EE800A9 fcvtps x9, h5 9EE803F8 fcvtps x24, h31 // FCVTPS_64S_float2int 1001111000101000000000xxxxxxxxxx 9E2803C3 fcvtps x3, s30 9E28032C fcvtps x12, s25 9E28029D fcvtps x29, s20 9E280385 fcvtps x5, s28 9E280143 fcvtps x3, s10 9E280010 fcvtps x16, s0 9E280241 fcvtps x1, s18 9E280369 fcvtps x9, s27 9E28037E fcvtps x30, s27 9E280368 fcvtps x8, s27 9E280398 fcvtps x24, s28 9E2802EC fcvtps x12, s23 9E280151 fcvtps x17, s10 9E28029E fcvtps x30, s20 9E28038B fcvtps x11, s28 9E280250 fcvtps x16, s18 // FCVTPS_asimdmisc_R 0x0011101x100001101xxxxxxxxxxxxx 4EE1A8E4 fcvtps v4.2d, v7.2d 4EA1A861 fcvtps v1.4s, v3.4s 0EA1AA75 fcvtps v21.2s, v19.2s 0EA1A9C5 fcvtps v5.2s, v14.2s 4EE1A84C fcvtps v12.2d, v2.2d 0EA1A9C9 fcvtps v9.2s, v14.2s 4EE1AB5A fcvtps v26.2d, v26.2d 4EE1AB03 fcvtps v3.2d, v24.2d 0EA1A911 fcvtps v17.2s, v8.2s 4EA1A96F fcvtps v15.4s, v11.4s 4EE1AAE8 fcvtps v8.2d, v23.2d 4EA1A829 fcvtps v9.4s, v1.4s 0EA1A9A2 fcvtps v2.2s, v13.2s 4EA1ABEC fcvtps v12.4s, v31.4s 0EA1A93F fcvtps v31.2s, v9.2s 4EA1ABB3 fcvtps v19.4s, v29.4s // FCVTPS_asimdmiscfp16_R 0x00111011111001101xxxxxxxxxxxxx 0EF9A928 fcvtps v8.4h, v9.4h 4EF9AA97 fcvtps v23.8h, v20.8h 4EF9A9D2 fcvtps v18.8h, v14.8h 0EF9AB4A fcvtps v10.4h, v26.4h 0EF9AB85 fcvtps v5.4h, v28.4h 4EF9AA5B fcvtps v27.8h, v18.8h 0EF9A828 fcvtps v8.4h, v1.4h 0EF9A92B fcvtps v11.4h, v9.4h 0EF9A9F3 fcvtps v19.4h, v15.4h 0EF9A8D6 fcvtps v22.4h, v6.4h 0EF9A861 fcvtps v1.4h, v3.4h 4EF9AB80 fcvtps v0.8h, v28.8h 4EF9A87A fcvtps v26.8h, v3.8h 0EF9A943 fcvtps v3.4h, v10.4h 4EF9AA2D fcvtps v13.8h, v17.8h 0EF9A99A fcvtps v26.4h, v12.4h // FCVTPS_asisdmisc_R 010111101x100001101010xxxxxxxxxx 5EE1AA2B fcvtps d11, d17 5EA1AA37 fcvtps s23, s17 5EA1AA4E fcvtps s14, s18 5EA1A974 fcvtps s20, s11 5EE1A83D fcvtps d29, d1 5EE1AA83 fcvtps d3, d20 5EE1AB3A fcvtps d26, d25 5EA1AAB4 fcvtps s20, s21 5EA1A88B fcvtps s11, s4 5EE1AAE2 fcvtps d2, d23 5EA1A9EA fcvtps s10, s15 5EA1A9E0 fcvtps s0, s15 5EE1A9DF fcvtps d31, d14 5EA1AB93 fcvtps s19, s28 5EE1AA61 fcvtps d1, d19 5EE1AA2A fcvtps d10, d17 // FCVTPS_asisdmiscfp16_R 0101111011111001101010xxxxxxxxxx 5EF9AAF9 fcvtps h25, h23 5EF9A88A fcvtps h10, h4 5EF9AB17 fcvtps h23, h24 5EF9AB72 fcvtps h18, h27 5EF9AAC1 fcvtps h1, h22 5EF9ABD6 fcvtps h22, h30 5EF9A9DA fcvtps h26, h14 5EF9AB67 fcvtps h7, h27 5EF9A9BD fcvtps h29, h13 5EF9AB22 fcvtps h2, h25 5EF9A821 fcvtps h1, h1 5EF9A84D fcvtps h13, h2 5EF9AB1E fcvtps h30, h24 5EF9A9BF fcvtps h31, h13 5EF9AA73 fcvtps h19, h19 5EF9ABA5 fcvtps h5, h29 // FCVTPU_32D_float2int 0001111001101001000000xxxxxxxxxx 1E6901A1 fcvtpu w1, d13 1E690071 fcvtpu w17, d3 1E690320 fcvtpu w0, d25 1E690368 fcvtpu w8, d27 1E690081 fcvtpu w1, d4 1E6901E1 fcvtpu w1, d15 1E69008D fcvtpu w13, d4 1E6901A6 fcvtpu w6, d13 1E6902E8 fcvtpu w8, d23 1E690025 fcvtpu w5, d1 1E690181 fcvtpu w1, d12 1E690369 fcvtpu w9, d27 1E690361 fcvtpu w1, d27 1E69018C fcvtpu w12, d12 1E690107 fcvtpu w7, d8 1E690283 fcvtpu w3, d20 // FCVTPU_32H_float2int 0001111011101001000000xxxxxxxxxx 1EE9019E fcvtpu w30, h12 1EE90148 fcvtpu w8, h10 1EE90041 fcvtpu w1, h2 1EE9032A fcvtpu w10, h25 1EE903FB fcvtpu w27, h31 1EE9033D fcvtpu w29, h25 1EE9020F fcvtpu w15, h16 1EE90036 fcvtpu w22, h1 1EE90283 fcvtpu w3, h20 1EE90171 fcvtpu w17, h11 1EE902AD fcvtpu w13, h21 1EE9014B fcvtpu w11, h10 1EE90053 fcvtpu w19, h2 1EE900AA fcvtpu w10, h5 1EE902FA fcvtpu w26, h23 1EE901A5 fcvtpu w5, h13 // FCVTPU_32S_float2int 0001111000101001000000xxxxxxxxxx 1E290071 fcvtpu w17, s3 1E29034E fcvtpu w14, s26 1E290304 fcvtpu w4, s24 1E2903B6 fcvtpu w22, s29 1E2902CA fcvtpu w10, s22 1E290010 fcvtpu w16, s0 1E29020B fcvtpu w11, s16 1E290365 fcvtpu w5, s27 1E290139 fcvtpu w25, s9 1E2900C8 fcvtpu w8, s6 1E29013A fcvtpu w26, s9 1E2903F3 fcvtpu w19, s31 1E290218 fcvtpu w24, s16 1E290145 fcvtpu w5, s10 1E29018B fcvtpu w11, s12 1E290302 fcvtpu w2, s24 // FCVTPU_64D_float2int 1001111001101001000000xxxxxxxxxx 9E690245 fcvtpu x5, d18 9E6903D8 fcvtpu x24, d30 9E6900E8 fcvtpu x8, d7 9E6901B8 fcvtpu x24, d13 9E69011C fcvtpu x28, d8 9E690270 fcvtpu x16, d19 9E6903F3 fcvtpu x19, d31 9E69020D fcvtpu x13, d16 9E690073 fcvtpu x19, d3 9E6902BB fcvtpu x27, d21 9E6902F6 fcvtpu x22, d23 9E69018D fcvtpu x13, d12 9E69033F fcvtpu xzr, d25 9E69034A fcvtpu x10, d26 9E69008A fcvtpu x10, d4 9E69003E fcvtpu x30, d1 // FCVTPU_64H_float2int 1001111011101001000000xxxxxxxxxx 9EE9003B fcvtpu x27, h1 9EE90271 fcvtpu x17, h19 9EE90339 fcvtpu x25, h25 9EE902DB fcvtpu x27, h22 9EE9013C fcvtpu x28, h9 9EE90158 fcvtpu x24, h10 9EE9014E fcvtpu x14, h10 9EE90011 fcvtpu x17, h0 9EE901C7 fcvtpu x7, h14 9EE900AC fcvtpu x12, h5 9EE90100 fcvtpu x0, h8 9EE900D6 fcvtpu x22, h6 9EE90303 fcvtpu x3, h24 9EE90105 fcvtpu x5, h8 9EE903F7 fcvtpu x23, h31 9EE9032A fcvtpu x10, h25 // FCVTPU_64S_float2int 1001111000101001000000xxxxxxxxxx 9E290255 fcvtpu x21, s18 9E290103 fcvtpu x3, s8 9E29037C fcvtpu x28, s27 9E290389 fcvtpu x9, s28 9E2902A0 fcvtpu x0, s21 9E2900E2 fcvtpu x2, s7 9E290272 fcvtpu x18, s19 9E29015A fcvtpu x26, s10 9E2901AC fcvtpu x12, s13 9E2902DF fcvtpu xzr, s22 9E290237 fcvtpu x23, s17 9E2903F5 fcvtpu x21, s31 9E290033 fcvtpu x19, s1 9E29013B fcvtpu x27, s9 9E290001 fcvtpu x1, s0 9E2903C1 fcvtpu x1, s30 // FCVTPU_asimdmisc_R 0x1011101x10000110101xxxxxxxxxxx 2EA1AA8C fcvtpu v12.2s, v20.2s 2EA1A9F5 fcvtpu v21.2s, v15.2s 2EA1AB17 fcvtpu v23.2s, v24.2s 6EA1AA40 fcvtpu v0.4s, v18.4s 2EA1A857 fcvtpu v23.2s, v2.2s 6EA1ABAC fcvtpu v12.4s, v29.4s 6EA1AB77 fcvtpu v23.4s, v27.4s 6EA1AA50 fcvtpu v16.4s, v18.4s 2EA1AB7B fcvtpu v27.2s, v27.2s 2EA1AB81 fcvtpu v1.2s, v28.2s 6EE1A885 fcvtpu v5.2d, v4.2d 6EA1AB08 fcvtpu v8.4s, v24.4s 2EA1A950 fcvtpu v16.2s, v10.2s 2EA1A8B9 fcvtpu v25.2s, v5.2s 6EA1AA15 fcvtpu v21.4s, v16.4s 6EA1AB60 fcvtpu v0.4s, v27.4s // FCVTPU_asimdmiscfp16_R 0x1011101111100110101xxxxxxxxxxx 2EF9A895 fcvtpu v21.4h, v4.4h 6EF9AAED fcvtpu v13.8h, v23.8h 2EF9A970 fcvtpu v16.4h, v11.4h 2EF9A916 fcvtpu v22.4h, v8.4h 6EF9A827 fcvtpu v7.8h, v1.8h 6EF9AAB0 fcvtpu v16.8h, v21.8h 2EF9AAA7 fcvtpu v7.4h, v21.4h 2EF9A880 fcvtpu v0.4h, v4.4h 2EF9AAF3 fcvtpu v19.4h, v23.4h 2EF9AA81 fcvtpu v1.4h, v20.4h 2EF9A869 fcvtpu v9.4h, v3.4h 2EF9ABED fcvtpu v13.4h, v31.4h 2EF9A93F fcvtpu v31.4h, v9.4h 6EF9AA80 fcvtpu v0.8h, v20.8h 6EF9AB6A fcvtpu v10.8h, v27.8h 6EF9A8E9 fcvtpu v9.8h, v7.8h // FCVTPU_asisdmisc_R 011111101x100001101010xxxxxxxxxx 7EE1A960 fcvtpu d0, d11 7EE1AAF5 fcvtpu d21, d23 7EA1A9A2 fcvtpu s2, s13 7EA1A82C fcvtpu s12, s1 7EA1A935 fcvtpu s21, s9 7EE1ABF2 fcvtpu d18, d31 7EA1ABB6 fcvtpu s22, s29 7EA1AB9F fcvtpu s31, s28 7EA1A844 fcvtpu s4, s2 7EE1A997 fcvtpu d23, d12 7EE1AA02 fcvtpu d2, d16 7EE1ABEB fcvtpu d11, d31 7EA1A8BB fcvtpu s27, s5 7EE1AAD1 fcvtpu d17, d22 7EE1AA5A fcvtpu d26, d18 7EA1A80B fcvtpu s11, s0 // FCVTPU_asisdmiscfp16_R 0111111011111001101010xxxxxxxxxx 7EF9ABD5 fcvtpu h21, h30 7EF9AB7D fcvtpu h29, h27 7EF9AA2F fcvtpu h15, h17 7EF9AA69 fcvtpu h9, h19 7EF9A865 fcvtpu h5, h3 7EF9A821 fcvtpu h1, h1 7EF9A894 fcvtpu h20, h4 7EF9A8E4 fcvtpu h4, h7 7EF9AA13 fcvtpu h19, h16 7EF9A899 fcvtpu h25, h4 7EF9A9EE fcvtpu h14, h15 7EF9AA53 fcvtpu h19, h18 7EF9AB3C fcvtpu h28, h25 7EF9A908 fcvtpu h8, h8 7EF9AB29 fcvtpu h9, h25 7EF9ABC7 fcvtpu h7, h30 // FCVTXN_asimdmisc_N 0x10111001100001011010xxxxxxxxxx 6E616B1B fcvtxn2 v27.4s, v24.2d 2E616964 fcvtxn v4.2s, v11.2d 6E61685C fcvtxn2 v28.4s, v2.2d 6E616A02 fcvtxn2 v2.4s, v16.2d 2E616BC0 fcvtxn v0.2s, v30.2d 6E616916 fcvtxn2 v22.4s, v8.2d 2E616811 fcvtxn v17.2s, v0.2d 2E6168A2 fcvtxn v2.2s, v5.2d 6E616972 fcvtxn2 v18.4s, v11.2d 6E616A55 fcvtxn2 v21.4s, v18.2d 2E61696E fcvtxn v14.2s, v11.2d 6E61691F fcvtxn2 v31.4s, v8.2d 6E6168B0 fcvtxn2 v16.4s, v5.2d 6E616B90 fcvtxn2 v16.4s, v28.2d 2E616930 fcvtxn v16.2s, v9.2d 2E616A99 fcvtxn v25.2s, v20.2d // FCVTXN_asisdmisc_N 0111111001100001011010xxxxxxxxxx 7E6168A4 fcvtxn s4, d5 7E616936 fcvtxn s22, d9 7E6169DB fcvtxn s27, d14 7E616984 fcvtxn s4, d12 7E616A45 fcvtxn s5, d18 7E6168AB fcvtxn s11, d5 7E616AB1 fcvtxn s17, d21 7E616B11 fcvtxn s17, d24 7E616A71 fcvtxn s17, d19 7E616BB1 fcvtxn s17, d29 7E616A1A fcvtxn s26, d16 7E616928 fcvtxn s8, d9 7E616B84 fcvtxn s4, d28 7E616973 fcvtxn s19, d11 7E616A86 fcvtxn s6, d20 7E616AF0 fcvtxn s16, d23 // FCVTZS_32D_float2fix 000111100101100xxxxxxxxxxxxxxxxx 1E58ABAC fcvtzs w12, d29, #0x16 1E58F565 fcvtzs w5, d11, #0x3 1E58F205 fcvtzs w5, d16, #0x4 1E58A673 fcvtzs w19, d19, #0x17 1E58D48A fcvtzs w10, d4, #0xb 1E58A1DD fcvtzs w29, d14, #0x18 1E58CF21 fcvtzs w1, d25, #0xd 1E58E816 fcvtzs w22, d0, #0x6 1E58ED98 fcvtzs w24, d12, #0x5 1E58A7DB fcvtzs w27, d30, #0x17 1E588C7A fcvtzs w26, d3, #0x1d 1E58DC7D fcvtzs w29, d3, #0x9 1E588E5F fcvtzs wzr, d18, #0x1d 1E588AE3 fcvtzs w3, d23, #0x1e 1E58E87A fcvtzs w26, d3, #0x6 1E58FA86 fcvtzs w6, d20, #0x2 // FCVTZS_32D_float2int 0001111001111000000000xxxxxxxxxx 1E7802E5 fcvtzs w5, d23 1E7803F0 fcvtzs w16, d31 1E78007E fcvtzs w30, d3 1E780322 fcvtzs w2, d25 1E7801D0 fcvtzs w16, d14 1E780219 fcvtzs w25, d16 1E780351 fcvtzs w17, d26 1E78030C fcvtzs w12, d24 1E7803B5 fcvtzs w21, d29 1E780316 fcvtzs w22, d24 1E7802C1 fcvtzs w1, d22 1E7802E9 fcvtzs w9, d23 1E780249 fcvtzs w9, d18 1E7802D6 fcvtzs w22, d22 1E7802B5 fcvtzs w21, d21 1E7801B8 fcvtzs w24, d13 // FCVTZS_32H_float2fix 000111101101100xxxxxxxxxxxxxxxxx 1ED8FE11 fcvtzs w17, h16, #0x1 1ED8AB97 fcvtzs w23, h28, #0x16 1ED8E641 fcvtzs w1, h18, #0x7 1ED8E73E fcvtzs w30, h25, #0x7 1ED8AA24 fcvtzs w4, h17, #0x16 1ED89194 fcvtzs w20, h12, #0x1c 1ED8C452 fcvtzs w18, h2, #0xf 1ED8E213 fcvtzs w19, h16, #0x8 1ED8A9A6 fcvtzs w6, h13, #0x16 1ED8C1D6 fcvtzs w22, h14, #0x10 1ED8DC75 fcvtzs w21, h3, #0x9 1ED897D3 fcvtzs w19, h30, #0x1b 1ED8BBDB fcvtzs w27, h30, #0x12 1ED8AB1D fcvtzs w29, h24, #0x16 1ED8B1B8 fcvtzs w24, h13, #0x14 1ED88D8E fcvtzs w14, h12, #0x1d // FCVTZS_32H_float2int 0001111011111000000000xxxxxxxxxx 1EF8022A fcvtzs w10, h17 1EF801D7 fcvtzs w23, h14 1EF80072 fcvtzs w18, h3 1EF801F1 fcvtzs w17, h15 1EF8025F fcvtzs wzr, h18 1EF801F6 fcvtzs w22, h15 1EF80210 fcvtzs w16, h16 1EF802BD fcvtzs w29, h21 1EF80088 fcvtzs w8, h4 1EF8004B fcvtzs w11, h2 1EF80385 fcvtzs w5, h28 1EF801CD fcvtzs w13, h14 1EF800E1 fcvtzs w1, h7 1EF803D9 fcvtzs w25, h30 1EF80243 fcvtzs w3, h18 1EF80105 fcvtzs w5, h8 // FCVTZS_32S_float2fix 000111100001100xxxxxxxxxxxxxxxxx 1E1898C7 fcvtzs w7, s6, #0x1a 1E18E43A fcvtzs w26, s1, #0x7 1E18B8F0 fcvtzs w16, s7, #0x12 1E18F282 fcvtzs w2, s20, #0x4 1E189BD4 fcvtzs w20, s30, #0x1a 1E18DAC2 fcvtzs w2, s22, #0xa 1E1880DF fcvtzs wzr, s6, #0x20 1E18DFDF fcvtzs wzr, s30, #0x9 1E18D263 fcvtzs w3, s19, #0xc 1E18AA7B fcvtzs w27, s19, #0x16 1E18E665 fcvtzs w5, s19, #0x7 1E18ED7E fcvtzs w30, s11, #0x5 1E18C43D fcvtzs w29, s1, #0xf 1E189903 fcvtzs w3, s8, #0x1a 1E18E04E fcvtzs w14, s2, #0x8 1E18FEA6 fcvtzs w6, s21, #0x1 // FCVTZS_32S_float2int 0001111000111000000000xxxxxxxxxx 1E38029B fcvtzs w27, s20 1E3802B8 fcvtzs w24, s21 1E380244 fcvtzs w4, s18 1E380060 fcvtzs w0, s3 1E380086 fcvtzs w6, s4 1E380203 fcvtzs w3, s16 1E3802E8 fcvtzs w8, s23 1E3803A9 fcvtzs w9, s29 1E38017B fcvtzs w27, s11 1E380252 fcvtzs w18, s18 1E3801CC fcvtzs w12, s14 1E380168 fcvtzs w8, s11 1E380066 fcvtzs w6, s3 1E380269 fcvtzs w9, s19 1E380019 fcvtzs w25, s0 1E380047 fcvtzs w7, s2 // FCVTZS_64D_float2fix 1001111001011000xxxxxxxxxxxxxxxx 9E58B8EB fcvtzs x11, d7, #0x12 9E580908 fcvtzs x8, d8, #0x3e 9E58944A fcvtzs x10, d2, #0x1b 9E58E25A fcvtzs x26, d18, #0x8 9E5806F3 fcvtzs x19, d23, #0x3f 9E581E3F fcvtzs xzr, d17, #0x39 9E58E1E0 fcvtzs x0, d15, #0x8 9E58AF93 fcvtzs x19, d28, #0x15 9E58BB2A fcvtzs x10, d25, #0x12 9E58CE1E fcvtzs x30, d16, #0xd 9E58F7C7 fcvtzs x7, d30, #0x3 9E582968 fcvtzs x8, d11, #0x36 9E5813B5 fcvtzs x21, d29, #0x3c 9E58A189 fcvtzs x9, d12, #0x18 9E589893 fcvtzs x19, d4, #0x1a 9E58E0A1 fcvtzs x1, d5, #0x8 // FCVTZS_64D_float2int 1001111001111000000000xxxxxxxxxx 9E780151 fcvtzs x17, d10 9E7800D1 fcvtzs x17, d6 9E780129 fcvtzs x9, d9 9E7801F5 fcvtzs x21, d15 9E780371 fcvtzs x17, d27 9E7801BC fcvtzs x28, d13 9E78004F fcvtzs x15, d2 9E7802F0 fcvtzs x16, d23 9E7800E5 fcvtzs x5, d7 9E7803BB fcvtzs x27, d29 9E7803FE fcvtzs x30, d31 9E780256 fcvtzs x22, d18 9E780072 fcvtzs x18, d3 9E78035A fcvtzs x26, d26 9E7800D3 fcvtzs x19, d6 9E78003B fcvtzs x27, d1 // FCVTZS_64H_float2fix 1001111011011000xxxxxxxxxxxxxxxx 9ED85343 fcvtzs x3, h26, #0x2c 9ED871A6 fcvtzs x6, h13, #0x24 9ED88258 fcvtzs x24, h18, #0x20 9ED8D871 fcvtzs x17, h3, #0xa 9ED8616F fcvtzs x15, h11, #0x28 9ED8A3F5 fcvtzs x21, h31, #0x18 9ED82100 fcvtzs x0, h8, #0x38 9ED87EFA fcvtzs x26, h23, #0x21 9ED87628 fcvtzs x8, h17, #0x23 9ED8B16A fcvtzs x10, h11, #0x14 9ED86E06 fcvtzs x6, h16, #0x25 9ED8A9E2 fcvtzs x2, h15, #0x16 9ED8C7DA fcvtzs x26, h30, #0xf 9ED830A7 fcvtzs x7, h5, #0x34 9ED87A4D fcvtzs x13, h18, #0x22 9ED82C93 fcvtzs x19, h4, #0x35 // FCVTZS_64H_float2int 1001111011111000000000xxxxxxxxxx 9EF803FC fcvtzs x28, h31 9EF80364 fcvtzs x4, h27 9EF803EC fcvtzs x12, h31 9EF8007B fcvtzs x27, h3 9EF80062 fcvtzs x2, h3 9EF80268 fcvtzs x8, h19 9EF803DA fcvtzs x26, h30 9EF80389 fcvtzs x9, h28 9EF803AC fcvtzs x12, h29 9EF80261 fcvtzs x1, h19 9EF80158 fcvtzs x24, h10 9EF8023F fcvtzs xzr, h17 9EF801BE fcvtzs x30, h13 9EF80086 fcvtzs x6, h4 9EF803AA fcvtzs x10, h29 9EF80236 fcvtzs x22, h17 // FCVTZS_64S_float2fix 1001111000011000xxxxxxxxxxxxxxxx 9E18C0DE fcvtzs x30, s6, #0x10 9E1813E0 fcvtzs x0, s31, #0x3c 9E18A97C fcvtzs x28, s11, #0x16 9E18FAD8 fcvtzs x24, s22, #0x2 9E188D45 fcvtzs x5, s10, #0x1d 9E18F89A fcvtzs x26, s4, #0x2 9E1830B5 fcvtzs x21, s5, #0x34 9E181D75 fcvtzs x21, s11, #0x39 9E1884A1 fcvtzs x1, s5, #0x1f 9E183CAB fcvtzs x11, s5, #0x31 9E18E94D fcvtzs x13, s10, #0x6 9E1852E5 fcvtzs x5, s23, #0x2c 9E183BB3 fcvtzs x19, s29, #0x32 9E18AAC9 fcvtzs x9, s22, #0x16 9E181C4B fcvtzs x11, s2, #0x39 9E183DA9 fcvtzs x9, s13, #0x31 // FCVTZS_64S_float2int 1001111000111000000000xxxxxxxxxx 9E380033 fcvtzs x19, s1 9E38021C fcvtzs x28, s16 9E3801A3 fcvtzs x3, s13 9E380054 fcvtzs x20, s2 9E380185 fcvtzs x5, s12 9E38021B fcvtzs x27, s16 9E3803D5 fcvtzs x21, s30 9E38004A fcvtzs x10, s2 9E38020D fcvtzs x13, s16 9E3800B6 fcvtzs x22, s5 9E3801AF fcvtzs x15, s13 9E380091 fcvtzs x17, s4 9E3800C6 fcvtzs x6, s6 9E38023A fcvtzs x26, s17 9E380128 fcvtzs x8, s9 9E3803B3 fcvtzs x19, s29 // FCVTZS_asimdmisc_R 0x0011101x100001101110xxxxxxxxxx 0EA1B976 fcvtzs v22.2s, v11.2s 4EE1BB10 fcvtzs v16.2d, v24.2d 4EA1B831 fcvtzs v17.4s, v1.4s 0EA1B8AD fcvtzs v13.2s, v5.2s 4EE1B9AC fcvtzs v12.2d, v13.2d 4EA1BAA2 fcvtzs v2.4s, v21.4s 4EA1BAD4 fcvtzs v20.4s, v22.4s 4EA1BAEB fcvtzs v11.4s, v23.4s 0EA1B984 fcvtzs v4.2s, v12.2s 4EE1B900 fcvtzs v0.2d, v8.2d 0EA1B910 fcvtzs v16.2s, v8.2s 0EA1BA65 fcvtzs v5.2s, v19.2s 4EA1B829 fcvtzs v9.4s, v1.4s 0EA1BA20 fcvtzs v0.2s, v17.2s 4EE1B896 fcvtzs v22.2d, v4.2d 0EA1BB6E fcvtzs v14.2s, v27.2s // FCVTZS_asimdmiscfp16_R 0x001110111110011xxxxxxxxxxxxxxx 0EF9BBFE fcvtzs v30.4h, v31.4h 4EF9BBD9 fcvtzs v25.8h, v30.8h 4EF9B91F fcvtzs v31.8h, v8.8h 4EF9B98E fcvtzs v14.8h, v12.8h 0EF9B8B5 fcvtzs v21.4h, v5.4h 0EF9BB41 fcvtzs v1.4h, v26.4h 4EF9B98F fcvtzs v15.8h, v12.8h 0EF9B87B fcvtzs v27.4h, v3.4h 0EF9BA2E fcvtzs v14.4h, v17.4h 0EF9B9A7 fcvtzs v7.4h, v13.4h 0EF9B9DA fcvtzs v26.4h, v14.4h 0EF9BBB1 fcvtzs v17.4h, v29.4h 4EF9BB25 fcvtzs v5.8h, v25.8h 4EF9BB2E fcvtzs v14.8h, v25.8h 0EF9BA35 fcvtzs v21.4h, v17.4h 4EF9BAF8 fcvtzs v24.8h, v23.8h // FCVTZS_asimdshf_C 0x0011110xxxxxxx111111xxxxxxxxxx 4F10FFBF fcvtzs v31.8h, v29.8h, #0x10 4F51FFAD fcvtzs v13.2d, v29.2d, #0x2f 0F19FF9E fcvtzs v30.4h, v28.4h, #0x7 4F3FFD16 fcvtzs v22.4s, v8.4s, #0x1 0F1FFE71 fcvtzs v17.4h, v19.4h, #0x1 4F55FFD7 fcvtzs v23.2d, v30.2d, #0x2b 4F5FFC91 fcvtzs v17.2d, v4.2d, #0x21 0F18FEB9 fcvtzs v25.4h, v21.4h, #0x8 4F36FEA8 fcvtzs v8.4s, v21.4s, #0xa 4F74FCCE fcvtzs v14.2d, v6.2d, #0xc 4F47FC3B fcvtzs v27.2d, v1.2d, #0x39 4F37FEB2 fcvtzs v18.4s, v21.4s, #0x9 0F16FD59 fcvtzs v25.4h, v10.4h, #0xa 4F58FE45 fcvtzs v5.2d, v18.2d, #0x28 0F1DFCEA fcvtzs v10.4h, v7.4h, #0x3 4F27FC84 fcvtzs v4.4s, v4.4s, #0x19 // FCVTZS_asisdmisc_R 010111101x100001101110xxxxxxxxxx 5EE1B824 fcvtzs d4, d1 5EA1B809 fcvtzs s9, s0 5EE1B803 fcvtzs d3, d0 5EA1B9F0 fcvtzs s16, s15 5EA1BA57 fcvtzs s23, s18 5EE1B9F8 fcvtzs d24, d15 5EE1BBBC fcvtzs d28, d29 5EA1BA7F fcvtzs s31, s19 5EA1BB90 fcvtzs s16, s28 5EA1B959 fcvtzs s25, s10 5EA1BA87 fcvtzs s7, s20 5EE1B863 fcvtzs d3, d3 5EE1B85A fcvtzs d26, d2 5EA1B81D fcvtzs s29, s0 5EE1BB97 fcvtzs d23, d28 5EE1BB25 fcvtzs d5, d25 // FCVTZS_asisdmiscfp16_R 0101111011111001101110xxxxxxxxxx 5EF9B9CF fcvtzs h15, h14 5EF9B860 fcvtzs h0, h3 5EF9B85C fcvtzs h28, h2 5EF9B914 fcvtzs h20, h8 5EF9BB50 fcvtzs h16, h26 5EF9B87B fcvtzs h27, h3 5EF9BA8B fcvtzs h11, h20 5EF9B9EC fcvtzs h12, h15 5EF9B93F fcvtzs h31, h9 5EF9B83E fcvtzs h30, h1 5EF9BB39 fcvtzs h25, h25 5EF9BAA6 fcvtzs h6, h21 5EF9B9C4 fcvtzs h4, h14 5EF9BBFD fcvtzs h29, h31 5EF9BB51 fcvtzs h17, h26 5EF9B900 fcvtzs h0, h8 // FCVTZS_asisdshf_C 010111110xxxxxxx111111xxxxxxxxxx 5F7CFC95 fcvtzs d21, d4, #0x4 5F51FCBB fcvtzs d27, d5, #0x2f 5F2FFE6A fcvtzs s10, s19, #0x11 5F50FC44 fcvtzs d4, d2, #0x30 5F7FFE40 fcvtzs d0, d18, #0x1 5F65FE48 fcvtzs d8, d18, #0x1b 5F69FD3F fcvtzs d31, d9, #0x17 5F16FCD2 fcvtzs h18, h6, #0xa 5F7EFEC7 fcvtzs d7, d22, #0x2 5F12FFED fcvtzs h13, h31, #0xe 5F42FE4E fcvtzs d14, d18, #0x3e 5F30FC35 fcvtzs s21, s1, #0x10 5F2CFFDE fcvtzs s30, s30, #0x14 5F6CFE09 fcvtzs d9, d16, #0x14 5F25FF23 fcvtzs s3, s25, #0x1b 5F52FC00 fcvtzs d0, d0, #0x2e // FCVTZU_32D_float2fix 00011110010110011xxxxxxxxxxxxxxx 1E59A3D6 fcvtzu w22, d30, #0x18 1E598E17 fcvtzu w23, d16, #0x1d 1E59EFB0 fcvtzu w16, d29, #0x5 1E5985CA fcvtzu w10, d14, #0x1f 1E59D69A fcvtzu w26, d20, #0xb 1E59E4A1 fcvtzu w1, d5, #0x7 1E599667 fcvtzu w7, d19, #0x1b 1E59EC60 fcvtzu w0, d3, #0x5 1E59D75C fcvtzu w28, d26, #0xb 1E59B1F3 fcvtzu w19, d15, #0x14 1E598DC1 fcvtzu w1, d14, #0x1d 1E59D6E6 fcvtzu w6, d23, #0xb 1E59C483 fcvtzu w3, d4, #0xf 1E59AD52 fcvtzu w18, d10, #0x15 1E59AF13 fcvtzu w19, d24, #0x15 1E59BBB9 fcvtzu w25, d29, #0x12 // FCVTZU_32D_float2int 0001111001111001000000xxxxxxxxxx 1E790268 fcvtzu w8, d19 1E79030B fcvtzu w11, d24 1E7903E6 fcvtzu w6, d31 1E7901B1 fcvtzu w17, d13 1E7900DF fcvtzu wzr, d6 1E7902DB fcvtzu w27, d22 1E7901B2 fcvtzu w18, d13 1E790325 fcvtzu w5, d25 1E790302 fcvtzu w2, d24 1E790399 fcvtzu w25, d28 1E790128 fcvtzu w8, d9 1E7901E5 fcvtzu w5, d15 1E790210 fcvtzu w16, d16 1E790100 fcvtzu w0, d8 1E790200 fcvtzu w0, d16 1E79024F fcvtzu w15, d18 // FCVTZU_32H_float2fix 00011110110110011xxxxxxxxxxxxxxx 1ED9C21B fcvtzu w27, h16, #0x10 1ED9AC9A fcvtzu w26, h4, #0x15 1ED9F8F0 fcvtzu w16, h7, #0x2 1ED9B8DB fcvtzu w27, h6, #0x12 1ED9E64D fcvtzu w13, h18, #0x7 1ED9DF05 fcvtzu w5, h24, #0x9 1ED9B84E fcvtzu w14, h2, #0x12 1ED9BCEB fcvtzu w11, h7, #0x11 1ED9AC97 fcvtzu w23, h4, #0x15 1ED9C58D fcvtzu w13, h12, #0xf 1ED9FE60 fcvtzu w0, h19, #0x1 1ED9DB51 fcvtzu w17, h26, #0xa 1ED9DB97 fcvtzu w23, h28, #0xa 1ED9E6CE fcvtzu w14, h22, #0x7 1ED9CA48 fcvtzu w8, h18, #0xe 1ED9AA8C fcvtzu w12, h20, #0x16 // FCVTZU_32H_float2int 0001111011111001000000xxxxxxxxxx 1EF9029C fcvtzu w28, h20 1EF9004D fcvtzu w13, h2 1EF9033D fcvtzu w29, h25 1EF9021E fcvtzu w30, h16 1EF902EE fcvtzu w14, h23 1EF901DA fcvtzu w26, h14 1EF90260 fcvtzu w0, h19 1EF900CF fcvtzu w15, h6 1EF9025F fcvtzu wzr, h18 1EF9030F fcvtzu w15, h24 1EF901B4 fcvtzu w20, h13 1EF903AC fcvtzu w12, h29 1EF90090 fcvtzu w16, h4 1EF902A0 fcvtzu w0, h21 1EF900B8 fcvtzu w24, h5 1EF9015A fcvtzu w26, h10 // FCVTZU_32S_float2fix 00011110000110011xxxxxxxxxxxxxxx 1E198712 fcvtzu w18, s24, #0x1f 1E199529 fcvtzu w9, s9, #0x1b 1E19B325 fcvtzu w5, s25, #0x14 1E198613 fcvtzu w19, s16, #0x1f 1E19DB55 fcvtzu w21, s26, #0xa 1E199E1C fcvtzu w28, s16, #0x19 1E19CAAD fcvtzu w13, s21, #0xe 1E19E59F fcvtzu wzr, s12, #0x7 1E19C45E fcvtzu w30, s2, #0xf 1E19B0D3 fcvtzu w19, s6, #0x14 1E19F79A fcvtzu w26, s28, #0x3 1E19D6DF fcvtzu wzr, s22, #0xb 1E19A98A fcvtzu w10, s12, #0x16 1E19D051 fcvtzu w17, s2, #0xc 1E199830 fcvtzu w16, s1, #0x1a 1E19B5D1 fcvtzu w17, s14, #0x13 // FCVTZU_32S_float2int 0001111000111001000000xxxxxxxxxx 1E390244 fcvtzu w4, s18 1E390371 fcvtzu w17, s27 1E39006C fcvtzu w12, s3 1E390038 fcvtzu w24, s1 1E39021E fcvtzu w30, s16 1E3903CB fcvtzu w11, s30 1E3903E2 fcvtzu w2, s31 1E39030D fcvtzu w13, s24 1E39028A fcvtzu w10, s20 1E390339 fcvtzu w25, s25 1E39013D fcvtzu w29, s9 1E3903C6 fcvtzu w6, s30 1E3902EF fcvtzu w15, s23 1E390106 fcvtzu w6, s8 1E3901F2 fcvtzu w18, s15 1E3903ED fcvtzu w13, s31 // FCVTZU_64D_float2fix 1001111001011001xxxxxxxxxxxxxxxx 9E5925BD fcvtzu x29, d13, #0x37 9E59A4C4 fcvtzu x4, d6, #0x17 9E59DF11 fcvtzu x17, d24, #0x9 9E592B44 fcvtzu x4, d26, #0x36 9E59B0DC fcvtzu x28, d6, #0x14 9E591852 fcvtzu x18, d2, #0x3a 9E592FD7 fcvtzu x23, d30, #0x35 9E59DCCD fcvtzu x13, d6, #0x9 9E593733 fcvtzu x19, d25, #0x33 9E59C923 fcvtzu x3, d9, #0xe 9E591190 fcvtzu x16, d12, #0x3c 9E5942D8 fcvtzu x24, d22, #0x30 9E596CE6 fcvtzu x6, d7, #0x25 9E590002 fcvtzu x2, d0, #0x40 9E59AD5F fcvtzu xzr, d10, #0x15 9E59C5D2 fcvtzu x18, d14, #0xf // FCVTZU_64D_float2int 1001111001111001000000xxxxxxxxxx 9E7900C9 fcvtzu x9, d6 9E790329 fcvtzu x9, d25 9E79028E fcvtzu x14, d20 9E79010F fcvtzu x15, d8 9E790109 fcvtzu x9, d8 9E7902B2 fcvtzu x18, d21 9E7901C0 fcvtzu x0, d14 9E790353 fcvtzu x19, d26 9E79036B fcvtzu x11, d27 9E790111 fcvtzu x17, d8 9E790094 fcvtzu x20, d4 9E790267 fcvtzu x7, d19 9E7902E2 fcvtzu x2, d23 9E7900AC fcvtzu x12, d5 9E7902E3 fcvtzu x3, d23 9E7901D6 fcvtzu x22, d14 // FCVTZU_64H_float2fix 1001111011011001xxxxxxxxxxxxxxxx 9ED9C8BB fcvtzu x27, h5, #0xe 9ED92254 fcvtzu x20, h18, #0x38 9ED9CE1C fcvtzu x28, h16, #0xd 9ED9DA00 fcvtzu x0, h16, #0xa 9ED90AB6 fcvtzu x22, h21, #0x3e 9ED9C0A0 fcvtzu x0, h5, #0x10 9ED9EE18 fcvtzu x24, h16, #0x5 9ED964DA fcvtzu x26, h6, #0x27 9ED9C191 fcvtzu x17, h12, #0x10 9ED9C9F3 fcvtzu x19, h15, #0xe 9ED93769 fcvtzu x9, h27, #0x33 9ED97D63 fcvtzu x3, h11, #0x21 9ED9970A fcvtzu x10, h24, #0x1b 9ED90172 fcvtzu x18, h11, #0x40 9ED9319E fcvtzu x30, h12, #0x34 9ED9F02B fcvtzu x11, h1, #0x4 // FCVTZU_64H_float2int 1001111011111001000000xxxxxxxxxx 9EF902C8 fcvtzu x8, h22 9EF902F9 fcvtzu x25, h23 9EF90272 fcvtzu x18, h19 9EF90331 fcvtzu x17, h25 9EF90040 fcvtzu x0, h2 9EF903C7 fcvtzu x7, h30 9EF90336 fcvtzu x22, h25 9EF902EB fcvtzu x11, h23 9EF9015F fcvtzu xzr, h10 9EF90384 fcvtzu x4, h28 9EF90112 fcvtzu x18, h8 9EF902E8 fcvtzu x8, h23 9EF901A6 fcvtzu x6, h13 9EF902DE fcvtzu x30, h22 9EF900C8 fcvtzu x8, h6 9EF9011E fcvtzu x30, h8 // FCVTZU_64S_float2fix 1001111000011001xxxxxxxxxxxxxxxx 9E19AFFA fcvtzu x26, s31, #0x15 9E197D7B fcvtzu x27, s11, #0x21 9E1920DD fcvtzu x29, s6, #0x38 9E19B556 fcvtzu x22, s10, #0x13 9E19B858 fcvtzu x24, s2, #0x12 9E1979EF fcvtzu x15, s15, #0x22 9E19F917 fcvtzu x23, s8, #0x2 9E19EC62 fcvtzu x2, s3, #0x5 9E1920F8 fcvtzu x24, s7, #0x38 9E19EBFB fcvtzu x27, s31, #0x6 9E19F8CF fcvtzu x15, s6, #0x2 9E19960F fcvtzu x15, s16, #0x1b 9E199C1F fcvtzu xzr, s0, #0x19 9E196E7D fcvtzu x29, s19, #0x25 9E192829 fcvtzu x9, s1, #0x36 9E194296 fcvtzu x22, s20, #0x30 // FCVTZU_64S_float2int 1001111000111001000000xxxxxxxxxx 9E390265 fcvtzu x5, s19 9E3902DF fcvtzu xzr, s22 9E3901E3 fcvtzu x3, s15 9E3901C5 fcvtzu x5, s14 9E3902B6 fcvtzu x22, s21 9E390395 fcvtzu x21, s28 9E39030F fcvtzu x15, s24 9E390283 fcvtzu x3, s20 9E39036A fcvtzu x10, s27 9E39033E fcvtzu x30, s25 9E390075 fcvtzu x21, s3 9E3902C6 fcvtzu x6, s22 9E390027 fcvtzu x7, s1 9E3903F7 fcvtzu x23, s31 9E3900C6 fcvtzu x6, s6 9E39026E fcvtzu x14, s19 // FCVTZU_asimdmisc_R 0x1011101x100001101110xxxxxxxxxx 6EA1BAD7 fcvtzu v23.4s, v22.4s 6EA1B9F9 fcvtzu v25.4s, v15.4s 2EA1BA98 fcvtzu v24.2s, v20.2s 2EA1BB60 fcvtzu v0.2s, v27.2s 2EA1BA36 fcvtzu v22.2s, v17.2s 6EE1BA49 fcvtzu v9.2d, v18.2d 6EE1BB80 fcvtzu v0.2d, v28.2d 6EE1BA1C fcvtzu v28.2d, v16.2d 6EE1BAC7 fcvtzu v7.2d, v22.2d 2EA1B96D fcvtzu v13.2s, v11.2s 6EE1B92C fcvtzu v12.2d, v9.2d 6EA1BA8F fcvtzu v15.4s, v20.4s 6EE1BB3E fcvtzu v30.2d, v25.2d 6EE1BB72 fcvtzu v18.2d, v27.2d 6EE1BAE9 fcvtzu v9.2d, v23.2d 6EE1B81A fcvtzu v26.2d, v0.2d // FCVTZU_asimdmiscfp16_R 0x10111011111001101110xxxxxxxxxx 2EF9B817 fcvtzu v23.4h, v0.4h 2EF9B830 fcvtzu v16.4h, v1.4h 6EF9B8BC fcvtzu v28.8h, v5.8h 6EF9B926 fcvtzu v6.8h, v9.8h 2EF9BA5F fcvtzu v31.4h, v18.4h 2EF9BB22 fcvtzu v2.4h, v25.4h 2EF9B8FE fcvtzu v30.4h, v7.4h 2EF9BA91 fcvtzu v17.4h, v20.4h 2EF9BACD fcvtzu v13.4h, v22.4h 6EF9BAF1 fcvtzu v17.8h, v23.8h 6EF9BA69 fcvtzu v9.8h, v19.8h 6EF9BAB7 fcvtzu v23.8h, v21.8h 2EF9BB93 fcvtzu v19.4h, v28.4h 2EF9B993 fcvtzu v19.4h, v12.4h 2EF9BB7D fcvtzu v29.4h, v27.4h 2EF9B99D fcvtzu v29.4h, v12.4h // FCVTZU_asimdshf_C 0x1011110xxxxxxxxxxxxxxxxxxxxxxx 6F51FF23 fcvtzu v3.2d, v25.2d, #0x2f 2F19FF4A fcvtzu v10.4h, v26.4h, #0x7 6F6CFE8E fcvtzu v14.2d, v20.2d, #0x14 2F1EFE8B fcvtzu v11.4h, v20.4h, #0x2 2F35FC8B fcvtzu v11.2s, v4.2s, #0xb 6F2BFDD0 fcvtzu v16.4s, v14.4s, #0x15 2F21FC67 fcvtzu v7.2s, v3.2s, #0x1f 6F2FFCB4 fcvtzu v20.4s, v5.4s, #0x11 6F46FFA4 fcvtzu v4.2d, v29.2d, #0x3a 2F1FFE04 fcvtzu v4.4h, v16.4h, #0x1 6F30FC6A fcvtzu v10.4s, v3.4s, #0x10 6F15FC8B fcvtzu v11.8h, v4.8h, #0xb 6F29FFF9 fcvtzu v25.4s, v31.4s, #0x17 6F6DFF04 fcvtzu v4.2d, v24.2d, #0x13 2F38FE1E fcvtzu v30.2s, v16.2s, #0x8 6F50FDDB fcvtzu v27.2d, v14.2d, #0x30 // FCVTZU_asisdmisc_R 011111101x100001101110xxxxxxxxxx 7EA1B9B9 fcvtzu s25, s13 7EE1B9EA fcvtzu d10, d15 7EE1B897 fcvtzu d23, d4 7EA1BB8B fcvtzu s11, s28 7EE1BBB7 fcvtzu d23, d29 7EA1B91D fcvtzu s29, s8 7EE1BBC8 fcvtzu d8, d30 7EA1BAFF fcvtzu s31, s23 7EA1BBED fcvtzu s13, s31 7EE1B858 fcvtzu d24, d2 7EE1BA41 fcvtzu d1, d18 7EA1BBD5 fcvtzu s21, s30 7EE1BBD5 fcvtzu d21, d30 7EA1B964 fcvtzu s4, s11 7EE1BB09 fcvtzu d9, d24 7EA1BAE5 fcvtzu s5, s23 // FCVTZU_asisdmiscfp16_R 0111111011111001101110xxxxxxxxxx 7EF9BA5F fcvtzu h31, h18 7EF9B81B fcvtzu h27, h0 7EF9B9C5 fcvtzu h5, h14 7EF9B913 fcvtzu h19, h8 7EF9BB87 fcvtzu h7, h28 7EF9BBCB fcvtzu h11, h30 7EF9B80E fcvtzu h14, h0 7EF9BB49 fcvtzu h9, h26 7EF9BB1A fcvtzu h26, h24 7EF9BAD0 fcvtzu h16, h22 7EF9BA6F fcvtzu h15, h19 7EF9B937 fcvtzu h23, h9 7EF9B834 fcvtzu h20, h1 7EF9BB81 fcvtzu h1, h28 7EF9B901 fcvtzu h1, h8 7EF9B838 fcvtzu h24, h1 // FCVTZU_asisdshf_C 011111110xxxxxxx111111xxxxxxxxxx 7F7DFDF7 fcvtzu d23, d15, #0x3 7F39FC4F fcvtzu s15, s2, #0x7 7F5BFD45 fcvtzu d5, d10, #0x25 7F7AFF69 fcvtzu d9, d27, #0x6 7F3BFF9D fcvtzu s29, s28, #0x5 7F37FF95 fcvtzu s21, s28, #0x9 7F46FE3A fcvtzu d26, d17, #0x3a 7F5CFF10 fcvtzu d16, d24, #0x24 7F5BFFB8 fcvtzu d24, d29, #0x25 7F6CFC31 fcvtzu d17, d1, #0x14 7F75FF90 fcvtzu d16, d28, #0xb 7F54FD8B fcvtzu d11, d12, #0x2c 7F6EFCB4 fcvtzu d20, d5, #0x12 7F6AFCC8 fcvtzu d8, d6, #0x16 7F3FFDD2 fcvtzu s18, s14, #0x1 7F5BFDEB fcvtzu d11, d15, #0x25 // FCVT_DH_floatdp1 0001111011100010110000xxxxxxxxxx 1EE2C3A9 fcvt d9, h29 1EE2C3AB fcvt d11, h29 1EE2C014 fcvt d20, h0 1EE2C21A fcvt d26, h16 1EE2C0A6 fcvt d6, h5 1EE2C1C7 fcvt d7, h14 1EE2C2C9 fcvt d9, h22 1EE2C25A fcvt d26, h18 1EE2C31C fcvt d28, h24 1EE2C144 fcvt d4, h10 1EE2C2E6 fcvt d6, h23 1EE2C05C fcvt d28, h2 1EE2C143 fcvt d3, h10 1EE2C283 fcvt d3, h20 1EE2C3A7 fcvt d7, h29 1EE2C142 fcvt d2, h10 // FCVT_DS_floatdp1 0001111000100010110000xxxxxxxxxx 1E22C19B fcvt d27, s12 1E22C0F2 fcvt d18, s7 1E22C083 fcvt d3, s4 1E22C27A fcvt d26, s19 1E22C09D fcvt d29, s4 1E22C2AF fcvt d15, s21 1E22C372 fcvt d18, s27 1E22C10C fcvt d12, s8 1E22C333 fcvt d19, s25 1E22C28C fcvt d12, s20 1E22C0E0 fcvt d0, s7 1E22C052 fcvt d18, s2 1E22C37E fcvt d30, s27 1E22C10D fcvt d13, s8 1E22C106 fcvt d6, s8 1E22C16A fcvt d10, s11 // FCVT_HD_floatdp1 0001111001100011110000xxxxxxxxxx 1E63C1EB fcvt h11, d15 1E63C104 fcvt h4, d8 1E63C0C2 fcvt h2, d6 1E63C2DD fcvt h29, d22 1E63C1B8 fcvt h24, d13 1E63C1E3 fcvt h3, d15 1E63C2E8 fcvt h8, d23 1E63C102 fcvt h2, d8 1E63C399 fcvt h25, d28 1E63C305 fcvt h5, d24 1E63C0D7 fcvt h23, d6 1E63C1BD fcvt h29, d13 1E63C2B7 fcvt h23, d21 1E63C09E fcvt h30, d4 1E63C1BF fcvt h31, d13 1E63C213 fcvt h19, d16 // FCVT_HS_floatdp1 0001111000100011110000xxxxxxxxxx 1E23C02E fcvt h14, s1 1E23C347 fcvt h7, s26 1E23C240 fcvt h0, s18 1E23C184 fcvt h4, s12 1E23C202 fcvt h2, s16 1E23C082 fcvt h2, s4 1E23C2EE fcvt h14, s23 1E23C0DC fcvt h28, s6 1E23C019 fcvt h25, s0 1E23C128 fcvt h8, s9 1E23C02D fcvt h13, s1 1E23C0B1 fcvt h17, s5 1E23C32A fcvt h10, s25 1E23C038 fcvt h24, s1 1E23C280 fcvt h0, s20 1E23C111 fcvt h17, s8 // FCVT_SD_floatdp1 0001111001100010010000xxxxxxxxxx 1E62421C fcvt s28, d16 1E6241BC fcvt s28, d13 1E624287 fcvt s7, d20 1E624238 fcvt s24, d17 1E624375 fcvt s21, d27 1E6240A2 fcvt s2, d5 1E6241A8 fcvt s8, d13 1E624003 fcvt s3, d0 1E6241FA fcvt s26, d15 1E62412B fcvt s11, d9 1E624067 fcvt s7, d3 1E624020 fcvt s0, d1 1E62422F fcvt s15, d17 1E6241D1 fcvt s17, d14 1E624031 fcvt s17, d1 1E624387 fcvt s7, d28 // FCVT_SH_floatdp1 0001111011100010010000xxxxxxxxxx 1EE2422F fcvt s15, h17 1EE241C5 fcvt s5, h14 1EE240EA fcvt s10, h7 1EE2402B fcvt s11, h1 1EE24252 fcvt s18, h18 1EE24136 fcvt s22, h9 1EE243F2 fcvt s18, h31 1EE24095 fcvt s21, h4 1EE24353 fcvt s19, h26 1EE24397 fcvt s23, h28 1EE2417A fcvt s26, h11 1EE242B9 fcvt s25, h21 1EE24304 fcvt s4, h24 1EE24363 fcvt s3, h27 1EE2415B fcvt s27, h10 1EE241F9 fcvt s25, h15 // FDIV_D_floatdp2 00011110011xxxxx000110xxxxxxxxxx 1E7A181E fdiv d30, d0, d26 1E6A18A1 fdiv d1, d5, d10 1E69186B fdiv d11, d3, d9 1E61199A fdiv d26, d12, d1 1E621848 fdiv d8, d2, d2 1E6418BE fdiv d30, d5, d4 1E671A08 fdiv d8, d16, d7 1E6B1B0D fdiv d13, d24, d11 1E651824 fdiv d4, d1, d5 1E6E1BA1 fdiv d1, d29, d14 1E6618C5 fdiv d5, d6, d6 1E6518A4 fdiv d4, d5, d5 1E711BD2 fdiv d18, d30, d17 1E761898 fdiv d24, d4, d22 1E711914 fdiv d20, d8, d17 1E6C1B8B fdiv d11, d28, d12 // FDIV_H_floatdp2 00011110111xxxxx000110xxxxxxxxxx 1EF91B2B fdiv h11, h25, h25 1EFB1A49 fdiv h9, h18, h27 1EE91847 fdiv h7, h2, h9 1EF01856 fdiv h22, h2, h16 1EE61957 fdiv h23, h10, h6 1EE61B63 fdiv h3, h27, h6 1EF518FF fdiv h31, h7, h21 1EF51B95 fdiv h21, h28, h21 1EF91B87 fdiv h7, h28, h25 1EF71972 fdiv h18, h11, h23 1EEE185C fdiv h28, h2, h14 1EEC1B78 fdiv h24, h27, h12 1EE51911 fdiv h17, h8, h5 1EF6191F fdiv h31, h8, h22 1EFA196E fdiv h14, h11, h26 1EE81A15 fdiv h21, h16, h8 // FDIV_S_floatdp2 00011110001xxxxx000110xxxxxxxxxx 1E331970 fdiv s16, s11, s19 1E3618C3 fdiv s3, s6, s22 1E2F197F fdiv s31, s11, s15 1E341B67 fdiv s7, s27, s20 1E3F1933 fdiv s19, s9, s31 1E2E1A25 fdiv s5, s17, s14 1E381B61 fdiv s1, s27, s24 1E24183C fdiv s28, s1, s4 1E3118C5 fdiv s5, s6, s17 1E2C1AC3 fdiv s3, s22, s12 1E2D1967 fdiv s7, s11, s13 1E231AE5 fdiv s5, s23, s3 1E351829 fdiv s9, s1, s21 1E3B1A32 fdiv s18, s17, s27 1E321BBC fdiv s28, s29, s18 1E2B1B38 fdiv s24, s25, s11 // FDIV_asimdsame_only 0x1011100x1xxxxx111111xxxxxxxxxx 2E3CFC60 fdiv v0.2s, v3.2s, v28.2s 6E21FF33 fdiv v19.4s, v25.4s, v1.4s 6E71FDC2 fdiv v2.2d, v14.2d, v17.2d 2E32FD99 fdiv v25.2s, v12.2s, v18.2s 6E37FEF0 fdiv v16.4s, v23.4s, v23.4s 6E2AFE59 fdiv v25.4s, v18.4s, v10.4s 6E3DFED3 fdiv v19.4s, v22.4s, v29.4s 6E24FEA5 fdiv v5.4s, v21.4s, v4.4s 2E30FC1C fdiv v28.2s, v0.2s, v16.2s 2E33FD1F fdiv v31.2s, v8.2s, v19.2s 2E27FF8C fdiv v12.2s, v28.2s, v7.2s 2E35FDE7 fdiv v7.2s, v15.2s, v21.2s 6E64FEB9 fdiv v25.2d, v21.2d, v4.2d 6E6BFEEC fdiv v12.2d, v23.2d, v11.2d 2E31FCC8 fdiv v8.2s, v6.2s, v17.2s 6E2FFDEA fdiv v10.4s, v15.4s, v15.4s // FDIV_asimdsamefp16_only 0x101110010xxxxx001111xxxxxxxxxx 2E4A3ED4 fdiv v20.4h, v22.4h, v10.4h 6E533E6D fdiv v13.8h, v19.8h, v19.8h 6E523CB2 fdiv v18.8h, v5.8h, v18.8h 2E403C5B fdiv v27.4h, v2.4h, v0.4h 2E483F57 fdiv v23.4h, v26.4h, v8.4h 6E563D77 fdiv v23.8h, v11.8h, v22.8h 2E593C41 fdiv v1.4h, v2.4h, v25.4h 2E5E3F7D fdiv v29.4h, v27.4h, v30.4h 6E593E97 fdiv v23.8h, v20.8h, v25.8h 2E4C3FA4 fdiv v4.4h, v29.4h, v12.4h 6E433C25 fdiv v5.8h, v1.8h, v3.8h 6E5A3F56 fdiv v22.8h, v26.8h, v26.8h 6E483FA7 fdiv v7.8h, v29.8h, v8.8h 6E493FCB fdiv v11.8h, v30.8h, v9.8h 6E543E16 fdiv v22.8h, v16.8h, v20.8h 6E553D91 fdiv v17.8h, v12.8h, v21.8h // FJCVTZS_32D_float2int 0001111001111110000000xxxxxxxxxx 1E7E03CE fjcvtzs w14, d30 1E7E02F2 fjcvtzs w18, d23 1E7E03F7 fjcvtzs w23, d31 1E7E003A fjcvtzs w26, d1 1E7E014A fjcvtzs w10, d10 1E7E0377 fjcvtzs w23, d27 1E7E01E9 fjcvtzs w9, d15 1E7E0020 fjcvtzs w0, d1 1E7E0050 fjcvtzs w16, d2 1E7E00A5 fjcvtzs w5, d5 1E7E02F7 fjcvtzs w23, d23 1E7E00A4 fjcvtzs w4, d5 1E7E037B fjcvtzs w27, d27 1E7E00F8 fjcvtzs w24, d7 1E7E010E fjcvtzs w14, d8 1E7E0031 fjcvtzs w17, d1 // FMADD_D_floatdp3 00011111010xxxxx0xxxxxxxxxxxxxxx 1F4E3209 fmadd d9, d16, d14, d12 1F4820AB fmadd d11, d5, d8, d8 1F437611 fmadd d17, d16, d3, d29 1F540BD0 fmadd d16, d30, d20, d2 1F493E43 fmadd d3, d18, d9, d15 1F4E3355 fmadd d21, d26, d14, d12 1F4D7958 fmadd d24, d10, d13, d30 1F503F90 fmadd d16, d28, d16, d15 1F4E5B4F fmadd d15, d26, d14, d22 1F59009E fmadd d30, d4, d25, d0 1F55268F fmadd d15, d20, d21, d9 1F441F93 fmadd d19, d28, d4, d7 1F5D6A2D fmadd d13, d17, d29, d26 1F4F677C fmadd d28, d27, d15, d25 1F5D60A4 fmadd d4, d5, d29, d24 1F486D02 fmadd d2, d8, d8, d27 // FMADD_H_floatdp3 00011111110xxxxx0xxxxxxxxxxxxxxx 1FDF4E9D fmadd h29, h20, h31, h19 1FC11AF7 fmadd h23, h23, h1, h6 1FC6158A fmadd h10, h12, h6, h5 1FD72833 fmadd h19, h1, h23, h10 1FD535E9 fmadd h9, h15, h21, h13 1FDD7E15 fmadd h21, h16, h29, h31 1FD56520 fmadd h0, h9, h21, h25 1FCD2467 fmadd h7, h3, h13, h9 1FCE7C91 fmadd h17, h4, h14, h31 1FD85F57 fmadd h23, h26, h24, h23 1FD77DF5 fmadd h21, h15, h23, h31 1FC77B2F fmadd h15, h25, h7, h30 1FC969AE fmadd h14, h13, h9, h26 1FC05C7B fmadd h27, h3, h0, h23 1FCA08F5 fmadd h21, h7, h10, h2 1FCE45BE fmadd h30, h13, h14, h17 // FMADD_S_floatdp3 00011111000xxxxx0xxxxxxxxxxxxxxx 1F0341FF fmadd s31, s15, s3, s16 1F1B4AFF fmadd s31, s23, s27, s18 1F030091 fmadd s17, s4, s3, s0 1F095CEF fmadd s15, s7, s9, s23 1F0857D6 fmadd s22, s30, s8, s21 1F0B1B55 fmadd s21, s26, s11, s6 1F0355AA fmadd s10, s13, s3, s21 1F0F38B0 fmadd s16, s5, s15, s14 1F173A07 fmadd s7, s16, s23, s14 1F165FAF fmadd s15, s29, s22, s23 1F1C1C73 fmadd s19, s3, s28, s7 1F0124A1 fmadd s1, s5, s1, s9 1F067957 fmadd s23, s10, s6, s30 1F0C0401 fmadd s1, s0, s12, s1 1F1A4E29 fmadd s9, s17, s26, s19 1F165B50 fmadd s16, s26, s22, s22 // FMAXNMP_asimdsame_only 0x1011100x1xxxxx1100xxxxxxxxxxxx 6E28C56F fmaxnmp v15.4s, v11.4s, v8.4s 6E22C7FE fmaxnmp v30.4s, v31.4s, v2.4s 6E3FC62B fmaxnmp v11.4s, v17.4s, v31.4s 2E2AC46A fmaxnmp v10.2s, v3.2s, v10.2s 2E22C4A7 fmaxnmp v7.2s, v5.2s, v2.2s 6E30C568 fmaxnmp v8.4s, v11.4s, v16.4s 6E32C4BA fmaxnmp v26.4s, v5.4s, v18.4s 2E39C6FE fmaxnmp v30.2s, v23.2s, v25.2s 2E3DC577 fmaxnmp v23.2s, v11.2s, v29.2s 6E3DC69A fmaxnmp v26.4s, v20.4s, v29.4s 6E75C619 fmaxnmp v25.2d, v16.2d, v21.2d 6E2BC75F fmaxnmp v31.4s, v26.4s, v11.4s 6E21C5F7 fmaxnmp v23.4s, v15.4s, v1.4s 2E3AC64E fmaxnmp v14.2s, v18.2s, v26.2s 6E62C5EA fmaxnmp v10.2d, v15.2d, v2.2d 6E22C4F7 fmaxnmp v23.4s, v7.4s, v2.4s // FMAXNMP_asimdsamefp16_only 0x101110010xxxxx000001xxxxxxxxxx 2E5D0610 fmaxnmp v16.4h, v16.4h, v29.4h 6E440642 fmaxnmp v2.8h, v18.8h, v4.8h 2E4306D6 fmaxnmp v22.4h, v22.4h, v3.4h 2E510412 fmaxnmp v18.4h, v0.4h, v17.4h 6E4A050F fmaxnmp v15.8h, v8.8h, v10.8h 6E420669 fmaxnmp v9.8h, v19.8h, v2.8h 6E53043B fmaxnmp v27.8h, v1.8h, v19.8h 6E5B06C5 fmaxnmp v5.8h, v22.8h, v27.8h 6E4A0479 fmaxnmp v25.8h, v3.8h, v10.8h 6E4D04F6 fmaxnmp v22.8h, v7.8h, v13.8h 2E5306B4 fmaxnmp v20.4h, v21.4h, v19.4h 6E5E0721 fmaxnmp v1.8h, v25.8h, v30.8h 2E5C07D0 fmaxnmp v16.4h, v30.4h, v28.4h 2E55065D fmaxnmp v29.4h, v18.4h, v21.4h 2E420743 fmaxnmp v3.4h, v26.4h, v2.4h 6E50053E fmaxnmp v30.8h, v9.8h, v16.8h // FMAXNMP_asisdpair_only_H 0101111000110000110010xxxxxxxxxx 5E30C82C fmaxnmp h12, v1.2h 5E30C83C fmaxnmp h28, v1.2h 5E30C907 fmaxnmp h7, v8.2h 5E30CB2F fmaxnmp h15, v25.2h 5E30CBD6 fmaxnmp h22, v30.2h 5E30C973 fmaxnmp h19, v11.2h 5E30C826 fmaxnmp h6, v1.2h 5E30CB3F fmaxnmp h31, v25.2h 5E30C97E fmaxnmp h30, v11.2h 5E30CA50 fmaxnmp h16, v18.2h 5E30C8CC fmaxnmp h12, v6.2h 5E30CB54 fmaxnmp h20, v26.2h 5E30CA99 fmaxnmp h25, v20.2h 5E30C960 fmaxnmp h0, v11.2h 5E30CA46 fmaxnmp h6, v18.2h 5E30C99D fmaxnmp h29, v12.2h // FMAXNMP_asisdpair_only_SD 011111100x110000110010xxxxxxxxxx 7E70CB15 fmaxnmp d21, v24.2d 7E30C97B fmaxnmp s27, v11.2s 7E30CBC4 fmaxnmp s4, v30.2s 7E70CB6C fmaxnmp d12, v27.2d 7E70CAB9 fmaxnmp d25, v21.2d 7E30C99A fmaxnmp s26, v12.2s 7E30C85C fmaxnmp s28, v2.2s 7E30CB70 fmaxnmp s16, v27.2s 7E30C9FE fmaxnmp s30, v15.2s 7E70C8DB fmaxnmp d27, v6.2d 7E70C802 fmaxnmp d2, v0.2d 7E30C977 fmaxnmp s23, v11.2s 7E30CAD4 fmaxnmp s20, v22.2s 7E70CAD9 fmaxnmp d25, v22.2d 7E70CB65 fmaxnmp d5, v27.2d 7E70C964 fmaxnmp d4, v11.2d // FMAXNMV_asimdall_only_H 0x00111000110000110010xxxxxxxxxx 0E30CADF fmaxnmv h31, v22.4h 4E30C8DF fmaxnmv h31, v6.8h 4E30CAD9 fmaxnmv h25, v22.8h 4E30CB25 fmaxnmv h5, v25.8h 4E30C864 fmaxnmv h4, v3.8h 4E30CAD3 fmaxnmv h19, v22.8h 0E30C9F5 fmaxnmv h21, v15.4h 4E30C8A3 fmaxnmv h3, v5.8h 4E30CA9D fmaxnmv h29, v20.8h 4E30C9ED fmaxnmv h13, v15.8h 4E30CAF0 fmaxnmv h16, v23.8h 0E30CACE fmaxnmv h14, v22.4h 0E30CAEC fmaxnmv h12, v23.4h 4E30C959 fmaxnmv h25, v10.8h 0E30C890 fmaxnmv h16, v4.4h 4E30C967 fmaxnmv h7, v11.8h // FMAXNMV_asimdall_only_SD 0110111000110000110010xxxxxxxxxx 6E30C815 fmaxnmv s21, v0.4s 6E30C836 fmaxnmv s22, v1.4s 6E30CB41 fmaxnmv s1, v26.4s 6E30C9E0 fmaxnmv s0, v15.4s 6E30C990 fmaxnmv s16, v12.4s 6E30CAF6 fmaxnmv s22, v23.4s 6E30C821 fmaxnmv s1, v1.4s 6E30CBCB fmaxnmv s11, v30.4s 6E30CB20 fmaxnmv s0, v25.4s 6E30C95F fmaxnmv s31, v10.4s 6E30CBA3 fmaxnmv s3, v29.4s 6E30C910 fmaxnmv s16, v8.4s 6E30CA81 fmaxnmv s1, v20.4s 6E30CA7B fmaxnmv s27, v19.4s 6E30CA15 fmaxnmv s21, v16.4s 6E30CB77 fmaxnmv s23, v27.4s // FMAXNM_D_floatdp2 00011110011xxxxx011010xxxxxxxxxx 1E7869A2 fmaxnm d2, d13, d24 1E71680A fmaxnm d10, d0, d17 1E786AF9 fmaxnm d25, d23, d24 1E76685F fmaxnm d31, d2, d22 1E6B6878 fmaxnm d24, d3, d11 1E726834 fmaxnm d20, d1, d18 1E756A3A fmaxnm d26, d17, d21 1E726B2F fmaxnm d15, d25, d18 1E706B7F fmaxnm d31, d27, d16 1E716A57 fmaxnm d23, d18, d17 1E62693E fmaxnm d30, d9, d2 1E7869B6 fmaxnm d22, d13, d24 1E6669F9 fmaxnm d25, d15, d6 1E6E690E fmaxnm d14, d8, d14 1E686B5C fmaxnm d28, d26, d8 1E7E6B75 fmaxnm d21, d27, d30 // FMAXNM_H_floatdp2 00011110111xxxxx011010xxxxxxxxxx 1EFB6ACB fmaxnm h11, h22, h27 1EE26911 fmaxnm h17, h8, h2 1EFA6934 fmaxnm h20, h9, h26 1EF06824 fmaxnm h4, h1, h16 1EE4685D fmaxnm h29, h2, h4 1EF76982 fmaxnm h2, h12, h23 1EE56AFF fmaxnm h31, h23, h5 1EF16959 fmaxnm h25, h10, h17 1EFD6960 fmaxnm h0, h11, h29 1EEF6B5B fmaxnm h27, h26, h15 1EE469D0 fmaxnm h16, h14, h4 1EF268AE fmaxnm h14, h5, h18 1EEE6A7B fmaxnm h27, h19, h14 1EEC6999 fmaxnm h25, h12, h12 1EEB688A fmaxnm h10, h4, h11 1EE96844 fmaxnm h4, h2, h9 // FMAXNM_S_floatdp2 00011110001xxxxx011010xxxxxxxxxx 1E266ADF fmaxnm s31, s22, s6 1E236B2C fmaxnm s12, s25, s3 1E26696C fmaxnm s12, s11, s6 1E366986 fmaxnm s6, s12, s22 1E346831 fmaxnm s17, s1, s20 1E3F6B98 fmaxnm s24, s28, s31 1E2E6AF5 fmaxnm s21, s23, s14 1E336BC2 fmaxnm s2, s30, s19 1E3F698E fmaxnm s14, s12, s31 1E396B6B fmaxnm s11, s27, s25 1E3C6B25 fmaxnm s5, s25, s28 1E2A6A9A fmaxnm s26, s20, s10 1E2E6AF2 fmaxnm s18, s23, s14 1E316BB6 fmaxnm s22, s29, s17 1E2E6BDB fmaxnm s27, s30, s14 1E376A35 fmaxnm s21, s17, s23 // FMAXNM_asimdsame_only 0x0011100x1xxxxx110001xxxxxxxxxx 0E28C4B5 fmaxnm v21.2s, v5.2s, v8.2s 4E22C5CF fmaxnm v15.4s, v14.4s, v2.4s 0E30C41E fmaxnm v30.2s, v0.2s, v16.2s 0E22C722 fmaxnm v2.2s, v25.2s, v2.2s 4E26C76E fmaxnm v14.4s, v27.4s, v6.4s 4E28C4C6 fmaxnm v6.4s, v6.4s, v8.4s 4E2CC6B0 fmaxnm v16.4s, v21.4s, v12.4s 4E7DC632 fmaxnm v18.2d, v17.2d, v29.2d 4E62C693 fmaxnm v19.2d, v20.2d, v2.2d 4E61C6B6 fmaxnm v22.2d, v21.2d, v1.2d 4E32C4A6 fmaxnm v6.4s, v5.4s, v18.4s 0E26C4D0 fmaxnm v16.2s, v6.2s, v6.2s 4E65C41B fmaxnm v27.2d, v0.2d, v5.2d 0E32C5FA fmaxnm v26.2s, v15.2s, v18.2s 4E3BC6B6 fmaxnm v22.4s, v21.4s, v27.4s 4E77C429 fmaxnm v9.2d, v1.2d, v23.2d // FMAXNM_asimdsamefp16_only 0x001110010xxxxx000001xxxxxxxxxx 4E44070D fmaxnm v13.8h, v24.8h, v4.8h 4E43042A fmaxnm v10.8h, v1.8h, v3.8h 4E580793 fmaxnm v19.8h, v28.8h, v24.8h 0E4B07E8 fmaxnm v8.4h, v31.4h, v11.4h 0E5C0435 fmaxnm v21.4h, v1.4h, v28.4h 0E5E06DB fmaxnm v27.4h, v22.4h, v30.4h 4E4204D4 fmaxnm v20.8h, v6.8h, v2.8h 4E5905D4 fmaxnm v20.8h, v14.8h, v25.8h 0E5907DD fmaxnm v29.4h, v30.4h, v25.4h 0E490416 fmaxnm v22.4h, v0.4h, v9.4h 4E580532 fmaxnm v18.8h, v9.8h, v24.8h 4E4C0626 fmaxnm v6.8h, v17.8h, v12.8h 0E580727 fmaxnm v7.4h, v25.4h, v24.4h 0E5A0441 fmaxnm v1.4h, v2.4h, v26.4h 4E4006B9 fmaxnm v25.8h, v21.8h, v0.8h 0E4F04CF fmaxnm v15.4h, v6.4h, v15.4h // FMAXP_asimdsame_only 0x1011100x1xxxxx1111xxxxxxxxxxxx 6E3CF6DE fmaxp v30.4s, v22.4s, v28.4s 6E20F4D7 fmaxp v23.4s, v6.4s, v0.4s 6E21F627 fmaxp v7.4s, v17.4s, v1.4s 6E60F79E fmaxp v30.2d, v28.2d, v0.2d 2E30F702 fmaxp v2.2s, v24.2s, v16.2s 6E30F75D fmaxp v29.4s, v26.4s, v16.4s 6E6BF7CC fmaxp v12.2d, v30.2d, v11.2d 6E6EF6A2 fmaxp v2.2d, v21.2d, v14.2d 6E65F530 fmaxp v16.2d, v9.2d, v5.2d 6E26F4EA fmaxp v10.4s, v7.4s, v6.4s 6E72F774 fmaxp v20.2d, v27.2d, v18.2d 2E33F7BA fmaxp v26.2s, v29.2s, v19.2s 6E68F42A fmaxp v10.2d, v1.2d, v8.2d 6E7BF7B1 fmaxp v17.2d, v29.2d, v27.2d 6E73F439 fmaxp v25.2d, v1.2d, v19.2d 6E25F71A fmaxp v26.4s, v24.4s, v5.4s // FMAXP_asimdsamefp16_only 0x101110010xxxxx001101xxxxxxxxxx 2E5C36EC fmaxp v12.4h, v23.4h, v28.4h 6E523742 fmaxp v2.8h, v26.8h, v18.8h 6E41365B fmaxp v27.8h, v18.8h, v1.8h 6E4435EA fmaxp v10.8h, v15.8h, v4.8h 2E433703 fmaxp v3.4h, v24.4h, v3.4h 6E4A36D2 fmaxp v18.8h, v22.8h, v10.8h 6E5E3771 fmaxp v17.8h, v27.8h, v30.8h 6E5A3585 fmaxp v5.8h, v12.8h, v26.8h 6E5E3658 fmaxp v24.8h, v18.8h, v30.8h 6E5D34A5 fmaxp v5.8h, v5.8h, v29.8h 2E5F37E8 fmaxp v8.4h, v31.4h, v31.4h 2E52372F fmaxp v15.4h, v25.4h, v18.4h 2E433741 fmaxp v1.4h, v26.4h, v3.4h 2E52358A fmaxp v10.4h, v12.4h, v18.4h 2E543500 fmaxp v0.4h, v8.4h, v20.4h 6E5D35D4 fmaxp v20.8h, v14.8h, v29.8h // FMAXP_asisdpair_only_H 0101111000110000111110xxxxxxxxxx 5E30F943 fmaxp h3, v10.2h 5E30F8B7 fmaxp h23, v5.2h 5E30FBE6 fmaxp h6, v31.2h 5E30F8B8 fmaxp h24, v5.2h 5E30F94B fmaxp h11, v10.2h 5E30FBAE fmaxp h14, v29.2h 5E30F8E5 fmaxp h5, v7.2h 5E30F8CB fmaxp h11, v6.2h 5E30F8EF fmaxp h15, v7.2h 5E30FB20 fmaxp h0, v25.2h 5E30F9A7 fmaxp h7, v13.2h 5E30F839 fmaxp h25, v1.2h 5E30FA3A fmaxp h26, v17.2h 5E30F8A2 fmaxp h2, v5.2h 5E30F8CA fmaxp h10, v6.2h 5E30FAAD fmaxp h13, v21.2h // FMAXP_asisdpair_only_SD 011111100x110000111110xxxxxxxxxx 7E70F9F3 fmaxp d19, v15.2d 7E70F8CE fmaxp d14, v6.2d 7E70F881 fmaxp d1, v4.2d 7E30F85D fmaxp s29, v2.2s 7E30F9B8 fmaxp s24, v13.2s 7E30F86F fmaxp s15, v3.2s 7E30F8EC fmaxp s12, v7.2s 7E30F862 fmaxp s2, v3.2s 7E70F820 fmaxp d0, v1.2d 7E30F95E fmaxp s30, v10.2s 7E30F94B fmaxp s11, v10.2s 7E70FB42 fmaxp d2, v26.2d 7E70FACE fmaxp d14, v22.2d 7E70F9C4 fmaxp d4, v14.2d 7E70FA62 fmaxp d2, v19.2d 7E30FBE7 fmaxp s7, v31.2s // FMAXV_asimdall_only_H 0x00111000110000111110xxxxxxxxxx 0E30F8DC fmaxv h28, v6.4h 4E30FA06 fmaxv h6, v16.8h 0E30FB02 fmaxv h2, v24.4h 4E30F8B4 fmaxv h20, v5.8h 0E30F838 fmaxv h24, v1.4h 4E30F95B fmaxv h27, v10.8h 0E30F8A1 fmaxv h1, v5.4h 0E30FA80 fmaxv h0, v20.4h 4E30F8B2 fmaxv h18, v5.8h 0E30F83F fmaxv h31, v1.4h 4E30FAB9 fmaxv h25, v21.8h 4E30F801 fmaxv h1, v0.8h 4E30FBEB fmaxv h11, v31.8h 4E30F80A fmaxv h10, v0.8h 4E30F8A9 fmaxv h9, v5.8h 0E30FA85 fmaxv h5, v20.4h // FMAXV_asimdall_only_SD 0110111000110000111110xxxxxxxxxx 6E30F878 fmaxv s24, v3.4s 6E30FACC fmaxv s12, v22.4s 6E30FA86 fmaxv s6, v20.4s 6E30F8E1 fmaxv s1, v7.4s 6E30F84A fmaxv s10, v2.4s 6E30FB42 fmaxv s2, v26.4s 6E30F84E fmaxv s14, v2.4s 6E30FB0B fmaxv s11, v24.4s 6E30F9BD fmaxv s29, v13.4s 6E30F890 fmaxv s16, v4.4s 6E30F8D5 fmaxv s21, v6.4s 6E30F8AA fmaxv s10, v5.4s 6E30F8E5 fmaxv s5, v7.4s 6E30FA18 fmaxv s24, v16.4s 6E30F8D0 fmaxv s16, v6.4s 6E30FB8F fmaxv s15, v28.4s // FMAX_D_floatdp2 00011110011xxxxx010010xxxxxxxxxx 1E784865 fmax d5, d3, d24 1E7E4832 fmax d18, d1, d30 1E604B8F fmax d15, d28, d0 1E674AE1 fmax d1, d23, d7 1E794988 fmax d8, d12, d25 1E7F48F8 fmax d24, d7, d31 1E674950 fmax d16, d10, d7 1E734B06 fmax d6, d24, d19 1E6648BE fmax d30, d5, d6 1E754861 fmax d1, d3, d21 1E6449EC fmax d12, d15, d4 1E7C496D fmax d13, d11, d28 1E7F4B1A fmax d26, d24, d31 1E7C4B5C fmax d28, d26, d28 1E7548CD fmax d13, d6, d21 1E604991 fmax d17, d12, d0 // FMAX_H_floatdp2 00011110111xxxxx010010xxxxxxxxxx 1EF74AB6 fmax h22, h21, h23 1EFF4803 fmax h3, h0, h31 1EE84855 fmax h21, h2, h8 1EF349B0 fmax h16, h13, h19 1EFD4A62 fmax h2, h19, h29 1EF64B38 fmax h24, h25, h22 1EED48BD fmax h29, h5, h13 1EE749B1 fmax h17, h13, h7 1EED49FE fmax h30, h15, h13 1EF04B52 fmax h18, h26, h16 1EF64AE0 fmax h0, h23, h22 1EED4A2D fmax h13, h17, h13 1EE749B6 fmax h22, h13, h7 1EEA498A fmax h10, h12, h10 1EF848BE fmax h30, h5, h24 1EE048F6 fmax h22, h7, h0 // FMAX_S_floatdp2 00011110001xxxxx010010xxxxxxxxxx 1E2F49E6 fmax s6, s15, s15 1E2349EA fmax s10, s15, s3 1E2349B6 fmax s22, s13, s3 1E3A4A9E fmax s30, s20, s26 1E27493B fmax s27, s9, s7 1E2748D7 fmax s23, s6, s7 1E3D48F9 fmax s25, s7, s29 1E384A52 fmax s18, s18, s24 1E364963 fmax s3, s11, s22 1E2E496E fmax s14, s11, s14 1E3B4914 fmax s20, s8, s27 1E3B4B60 fmax s0, s27, s27 1E324AB6 fmax s22, s21, s18 1E3D4ACD fmax s13, s22, s29 1E204BB9 fmax s25, s29, s0 1E344A6A fmax s10, s19, s20 // FMAX_asimdsame_only 0x0011100x1xxxxx111101xxxxxxxxxx 0E2DF5CD fmax v13.2s, v14.2s, v13.2s 0E30F452 fmax v18.2s, v2.2s, v16.2s 4E2BF74D fmax v13.4s, v26.4s, v11.4s 4E6BF7F8 fmax v24.2d, v31.2d, v11.2d 4E2FF7DC fmax v28.4s, v30.4s, v15.4s 4E64F648 fmax v8.2d, v18.2d, v4.2d 4E2CF54B fmax v11.4s, v10.4s, v12.4s 4E20F527 fmax v7.4s, v9.4s, v0.4s 4E26F411 fmax v17.4s, v0.4s, v6.4s 4E6AF562 fmax v2.2d, v11.2d, v10.2d 0E26F6D8 fmax v24.2s, v22.2s, v6.2s 4E61F735 fmax v21.2d, v25.2d, v1.2d 4E23F716 fmax v22.4s, v24.4s, v3.4s 0E26F6DE fmax v30.2s, v22.2s, v6.2s 4E6FF5F7 fmax v23.2d, v15.2d, v15.2d 4E36F522 fmax v2.4s, v9.4s, v22.4s // FMAX_asimdsamefp16_only 0x001110010xxxxx001101xxxxxxxxxx 4E553644 fmax v4.8h, v18.8h, v21.8h 4E58368E fmax v14.8h, v20.8h, v24.8h 4E4735E9 fmax v9.8h, v15.8h, v7.8h 4E5D375C fmax v28.8h, v26.8h, v29.8h 0E4537CB fmax v11.4h, v30.4h, v5.4h 0E413404 fmax v4.4h, v0.4h, v1.4h 4E553597 fmax v23.8h, v12.8h, v21.8h 4E56357F fmax v31.8h, v11.8h, v22.8h 4E503707 fmax v7.8h, v24.8h, v16.8h 0E48347F fmax v31.4h, v3.4h, v8.4h 0E5536C3 fmax v3.4h, v22.4h, v21.4h 0E5A34DA fmax v26.4h, v6.4h, v26.4h 0E433411 fmax v17.4h, v0.4h, v3.4h 4E5F36C2 fmax v2.8h, v22.8h, v31.8h 4E4F358F fmax v15.8h, v12.8h, v15.8h 0E4A3723 fmax v3.4h, v25.4h, v10.4h // FMINNMP_asimdsame_only 0x1011101x1xxxxx1100xxxxxxxxxxxx 2EBFC715 fminnmp v21.2s, v24.2s, v31.2s 2EA4C646 fminnmp v6.2s, v18.2s, v4.2s 6EB9C55C fminnmp v28.4s, v10.4s, v25.4s 6EF0C7F4 fminnmp v20.2d, v31.2d, v16.2d 6EFCC76F fminnmp v15.2d, v27.2d, v28.2d 6EE8C588 fminnmp v8.2d, v12.2d, v8.2d 6EBDC70E fminnmp v14.4s, v24.4s, v29.4s 6EB7C64C fminnmp v12.4s, v18.4s, v23.4s 6EFBC79E fminnmp v30.2d, v28.2d, v27.2d 6EB5C59E fminnmp v30.4s, v12.4s, v21.4s 6EB6C7BB fminnmp v27.4s, v29.4s, v22.4s 6EFAC6AE fminnmp v14.2d, v21.2d, v26.2d 6EF5C50D fminnmp v13.2d, v8.2d, v21.2d 6EE3C48F fminnmp v15.2d, v4.2d, v3.2d 6EE6C636 fminnmp v22.2d, v17.2d, v6.2d 2EA7C408 fminnmp v8.2s, v0.2s, v7.2s // FMINNMP_asimdsamefp16_only 0x101110110xxxxx000001xxxxxxxxxx 2ED80758 fminnmp v24.4h, v26.4h, v24.4h 2ECC0511 fminnmp v17.4h, v8.4h, v12.4h 2ED605A0 fminnmp v0.4h, v13.4h, v22.4h 2EC404EE fminnmp v14.4h, v7.4h, v4.4h 6EC507F3 fminnmp v19.8h, v31.8h, v5.8h 2ED60763 fminnmp v3.4h, v27.4h, v22.4h 2ED705F7 fminnmp v23.4h, v15.4h, v23.4h 6ECA060B fminnmp v11.8h, v16.8h, v10.8h 6EDA05AA fminnmp v10.8h, v13.8h, v26.8h 2EDB0603 fminnmp v3.4h, v16.4h, v27.4h 2EC30540 fminnmp v0.4h, v10.4h, v3.4h 2EC00656 fminnmp v22.4h, v18.4h, v0.4h 6EC307F9 fminnmp v25.8h, v31.8h, v3.8h 2EDF04A8 fminnmp v8.4h, v5.4h, v31.4h 2ECA05E3 fminnmp v3.4h, v15.4h, v10.4h 6EC20417 fminnmp v23.8h, v0.8h, v2.8h // FMINNMP_asisdpair_only_H 0101111010110000110010xxxxxxxxxx 5EB0CB9E fminnmp h30, v28.2h 5EB0CA9F fminnmp h31, v20.2h 5EB0CB1C fminnmp h28, v24.2h 5EB0C8EA fminnmp h10, v7.2h 5EB0C826 fminnmp h6, v1.2h 5EB0C901 fminnmp h1, v8.2h 5EB0CB93 fminnmp h19, v28.2h 5EB0C81D fminnmp h29, v0.2h 5EB0CAF6 fminnmp h22, v23.2h 5EB0C924 fminnmp h4, v9.2h 5EB0C93F fminnmp h31, v9.2h 5EB0CB01 fminnmp h1, v24.2h 5EB0C82E fminnmp h14, v1.2h 5EB0C989 fminnmp h9, v12.2h 5EB0CAB7 fminnmp h23, v21.2h 5EB0C9B7 fminnmp h23, v13.2h // FMINNMP_asisdpair_only_SD 011111101x110000110010xxxxxxxxxx 7EB0C8F5 fminnmp s21, v7.2s 7EF0C86E fminnmp d14, v3.2d 7EB0C868 fminnmp s8, v3.2s 7EB0C808 fminnmp s8, v0.2s 7EB0CBF2 fminnmp s18, v31.2s 7EF0C8FE fminnmp d30, v7.2d 7EB0CAEF fminnmp s15, v23.2s 7EB0C9A7 fminnmp s7, v13.2s 7EB0C9B3 fminnmp s19, v13.2s 7EB0CB48 fminnmp s8, v26.2s 7EB0CA74 fminnmp s20, v19.2s 7EF0CBD9 fminnmp d25, v30.2d 7EF0CA04 fminnmp d4, v16.2d 7EB0CAAF fminnmp s15, v21.2s 7EF0C8AF fminnmp d15, v5.2d 7EB0CBD1 fminnmp s17, v30.2s // FMINNMV_asimdall_only_H 0x00111010110000110010xxxxxxxxxx 4EB0CB4D fminnmv h13, v26.8h 0EB0CB11 fminnmv h17, v24.4h 4EB0CB27 fminnmv h7, v25.8h 0EB0CAFD fminnmv h29, v23.4h 4EB0CB17 fminnmv h23, v24.8h 0EB0C831 fminnmv h17, v1.4h 4EB0C8D5 fminnmv h21, v6.8h 4EB0C921 fminnmv h1, v9.8h 0EB0C871 fminnmv h17, v3.4h 4EB0C839 fminnmv h25, v1.8h 4EB0CA2E fminnmv h14, v17.8h 0EB0C85F fminnmv h31, v2.4h 4EB0CBEB fminnmv h11, v31.8h 4EB0C9EB fminnmv h11, v15.8h 4EB0C8BA fminnmv h26, v5.8h 4EB0C949 fminnmv h9, v10.8h // FMINNMV_asimdall_only_SD 0110111010110000110010xxxxxxxxxx 6EB0C87B fminnmv s27, v3.4s 6EB0CBDC fminnmv s28, v30.4s 6EB0C89A fminnmv s26, v4.4s 6EB0CA86 fminnmv s6, v20.4s 6EB0C988 fminnmv s8, v12.4s 6EB0CB83 fminnmv s3, v28.4s 6EB0CAFA fminnmv s26, v23.4s 6EB0C8EF fminnmv s15, v7.4s 6EB0C93A fminnmv s26, v9.4s 6EB0CAFB fminnmv s27, v23.4s 6EB0C8C3 fminnmv s3, v6.4s 6EB0CB65 fminnmv s5, v27.4s 6EB0CA93 fminnmv s19, v20.4s 6EB0C84F fminnmv s15, v2.4s 6EB0CBC4 fminnmv s4, v30.4s 6EB0C9E5 fminnmv s5, v15.4s // FMINNM_D_floatdp2 00011110011xxxxx011110xxxxxxxxxx 1E737BBE fminnm d30, d29, d19 1E7979CC fminnm d12, d14, d25 1E7A7A0C fminnm d12, d16, d26 1E7E7AFA fminnm d26, d23, d30 1E7D791E fminnm d30, d8, d29 1E617A12 fminnm d18, d16, d1 1E7C7A5D fminnm d29, d18, d28 1E64794F fminnm d15, d10, d4 1E667853 fminnm d19, d2, d6 1E777897 fminnm d23, d4, d23 1E6B7A51 fminnm d17, d18, d11 1E6E7A42 fminnm d2, d18, d14 1E7F7AD8 fminnm d24, d22, d31 1E70782B fminnm d11, d1, d16 1E7F78FE fminnm d30, d7, d31 1E6C7809 fminnm d9, d0, d12 // FMINNM_H_floatdp2 00011110111xxxxx011110xxxxxxxxxx 1EF37BA1 fminnm h1, h29, h19 1EF37864 fminnm h4, h3, h19 1EF37A36 fminnm h22, h17, h19 1EF97915 fminnm h21, h8, h25 1EE97809 fminnm h9, h0, h9 1EEA7BCC fminnm h12, h30, h10 1EED79B3 fminnm h19, h13, h13 1EE77A69 fminnm h9, h19, h7 1EE37926 fminnm h6, h9, h3 1EEB79FC fminnm h28, h15, h11 1EF67857 fminnm h23, h2, h22 1EE27B8F fminnm h15, h28, h2 1EFB7830 fminnm h16, h1, h27 1EF179D2 fminnm h18, h14, h17 1EE37B60 fminnm h0, h27, h3 1EED7891 fminnm h17, h4, h13 // FMINNM_S_floatdp2 00011110001xxxxx011110xxxxxxxxxx 1E287B6E fminnm s14, s27, s8 1E377A19 fminnm s25, s16, s23 1E32797F fminnm s31, s11, s18 1E3C79B6 fminnm s22, s13, s28 1E2E7B4B fminnm s11, s26, s14 1E2778AD fminnm s13, s5, s7 1E2A7AA4 fminnm s4, s21, s10 1E2678B0 fminnm s16, s5, s6 1E2678C0 fminnm s0, s6, s6 1E207902 fminnm s2, s8, s0 1E2D79CF fminnm s15, s14, s13 1E2179D4 fminnm s20, s14, s1 1E3A7866 fminnm s6, s3, s26 1E307BC4 fminnm s4, s30, s16 1E2678AC fminnm s12, s5, s6 1E2978FF fminnm s31, s7, s9 // FMINNM_asimdsame_only 0x0011101x1xxxxx1100xxxxxxxxxxxx 0EB5C576 fminnm v22.2s, v11.2s, v21.2s 0EA3C5A3 fminnm v3.2s, v13.2s, v3.2s 0EAAC76B fminnm v11.2s, v27.2s, v10.2s 0EAEC7AC fminnm v12.2s, v29.2s, v14.2s 0EB5C4A2 fminnm v2.2s, v5.2s, v21.2s 4EA5C5BB fminnm v27.4s, v13.4s, v5.4s 4EFCC5A8 fminnm v8.2d, v13.2d, v28.2d 0EA1C4B7 fminnm v23.2s, v5.2s, v1.2s 4EB5C7EF fminnm v15.4s, v31.4s, v21.4s 0EBFC586 fminnm v6.2s, v12.2s, v31.2s 4EECC699 fminnm v25.2d, v20.2d, v12.2d 0EB7C6C0 fminnm v0.2s, v22.2s, v23.2s 4EEAC4F8 fminnm v24.2d, v7.2d, v10.2d 4EABC7D9 fminnm v25.4s, v30.4s, v11.4s 4EF8C66F fminnm v15.2d, v19.2d, v24.2d 4EA0C53C fminnm v28.4s, v9.4s, v0.4s // FMINNM_asimdsamefp16_only 0x001110110xxxxx000001xxxxxxxxxx 0ED207CF fminnm v15.4h, v30.4h, v18.4h 0EC90475 fminnm v21.4h, v3.4h, v9.4h 4ECF044B fminnm v11.8h, v2.8h, v15.8h 0EC6061F fminnm v31.4h, v16.4h, v6.4h 0ED80439 fminnm v25.4h, v1.4h, v24.4h 0ED604F3 fminnm v19.4h, v7.4h, v22.4h 4EC405EA fminnm v10.8h, v15.8h, v4.8h 0EC0055E fminnm v30.4h, v10.4h, v0.4h 4ED8053B fminnm v27.8h, v9.8h, v24.8h 0ECA0566 fminnm v6.4h, v11.4h, v10.4h 4EDB0789 fminnm v9.8h, v28.8h, v27.8h 0EC206D9 fminnm v25.4h, v22.4h, v2.4h 4ECD0614 fminnm v20.8h, v16.8h, v13.8h 0ED00569 fminnm v9.4h, v11.4h, v16.4h 0ED2079E fminnm v30.4h, v28.4h, v18.4h 4ECA0703 fminnm v3.8h, v24.8h, v10.8h // FMINP_asimdsame_only 0x1011101x1xxxxx1111xxxxxxxxxxxx 2EA0F44C fminp v12.2s, v2.2s, v0.2s 6EE2F5AA fminp v10.2d, v13.2d, v2.2d 6EF5F6A3 fminp v3.2d, v21.2d, v21.2d 6EA7F4FC fminp v28.4s, v7.4s, v7.4s 6EB9F7A2 fminp v2.4s, v29.4s, v25.4s 6EE8F6AD fminp v13.2d, v21.2d, v8.2d 6EECF730 fminp v16.2d, v25.2d, v12.2d 6EB7F4D9 fminp v25.4s, v6.4s, v23.4s 6EA4F51F fminp v31.4s, v8.4s, v4.4s 6EBDF603 fminp v3.4s, v16.4s, v29.4s 6EB3F599 fminp v25.4s, v12.4s, v19.4s 6EB5F532 fminp v18.4s, v9.4s, v21.4s 6EA2F517 fminp v23.4s, v8.4s, v2.4s 6EB2F624 fminp v4.4s, v17.4s, v18.4s 2EBFF621 fminp v1.2s, v17.2s, v31.2s 2EBBF73A fminp v26.2s, v25.2s, v27.2s // FMINP_asimdsamefp16_only 0x101110110xxxxx001101xxxxxxxxxx 2ED63513 fminp v19.4h, v8.4h, v22.4h 6EC43705 fminp v5.8h, v24.8h, v4.8h 6EC63784 fminp v4.8h, v28.8h, v6.8h 2EC3368C fminp v12.4h, v20.4h, v3.4h 2EC634F2 fminp v18.4h, v7.4h, v6.4h 6EDD37D4 fminp v20.8h, v30.8h, v29.8h 6EDF3764 fminp v4.8h, v27.8h, v31.8h 2ECE36AF fminp v15.4h, v21.4h, v14.4h 6ECE3710 fminp v16.8h, v24.8h, v14.8h 2EC03510 fminp v16.4h, v8.4h, v0.4h 2EC637F9 fminp v25.4h, v31.4h, v6.4h 6ED4353A fminp v26.8h, v9.8h, v20.8h 6ED4368F fminp v15.8h, v20.8h, v20.8h 6ED83567 fminp v7.8h, v11.8h, v24.8h 6ECF3462 fminp v2.8h, v3.8h, v15.8h 2ED73422 fminp v2.4h, v1.4h, v23.4h // FMINP_asisdpair_only_H 0101111010110000111110xxxxxxxxxx 5EB0F9A7 fminp h7, v13.2h 5EB0FA7A fminp h26, v19.2h 5EB0F875 fminp h21, v3.2h 5EB0FBC2 fminp h2, v30.2h 5EB0FB74 fminp h20, v27.2h 5EB0FB96 fminp h22, v28.2h 5EB0FAFF fminp h31, v23.2h 5EB0F8B2 fminp h18, v5.2h 5EB0FBBD fminp h29, v29.2h 5EB0F856 fminp h22, v2.2h 5EB0F89D fminp h29, v4.2h 5EB0FAC5 fminp h5, v22.2h 5EB0F9E7 fminp h7, v15.2h 5EB0F85D fminp h29, v2.2h 5EB0FB82 fminp h2, v28.2h 5EB0FAB9 fminp h25, v21.2h // FMINP_asisdpair_only_SD 011111101x110000111110xxxxxxxxxx 7EB0FBD2 fminp s18, v30.2s 7EB0F97D fminp s29, v11.2s 7EF0F926 fminp d6, v9.2d 7EF0FBAE fminp d14, v29.2d 7EB0FA9B fminp s27, v20.2s 7EF0F8B9 fminp d25, v5.2d 7EF0F9DF fminp d31, v14.2d 7EF0F99E fminp d30, v12.2d 7EF0F971 fminp d17, v11.2d 7EF0F9E3 fminp d3, v15.2d 7EF0F8F6 fminp d22, v7.2d 7EF0FB3A fminp d26, v25.2d 7EF0F9B7 fminp d23, v13.2d 7EF0FB18 fminp d24, v24.2d 7EB0FABD fminp s29, v21.2s 7EF0FA3A fminp d26, v17.2d // FMINV_asimdall_only_H 0x00111010110000111110xxxxxxxxxx 4EB0FB03 fminv h3, v24.8h 4EB0FA61 fminv h1, v19.8h 4EB0F8E4 fminv h4, v7.8h 0EB0FA50 fminv h16, v18.4h 0EB0F92D fminv h13, v9.4h 0EB0F916 fminv h22, v8.4h 0EB0FB31 fminv h17, v25.4h 4EB0F9D8 fminv h24, v14.8h 4EB0F91A fminv h26, v8.8h 4EB0FA6A fminv h10, v19.8h 0EB0F857 fminv h23, v2.4h 4EB0F985 fminv h5, v12.8h 4EB0FB96 fminv h22, v28.8h 0EB0F868 fminv h8, v3.4h 0EB0FAF7 fminv h23, v23.4h 4EB0FBAC fminv h12, v29.8h // FMINV_asimdall_only_SD 0110111010110000111110xxxxxxxxxx 6EB0FA6D fminv s13, v19.4s 6EB0F8FC fminv s28, v7.4s 6EB0F93F fminv s31, v9.4s 6EB0F8D2 fminv s18, v6.4s 6EB0F92F fminv s15, v9.4s 6EB0F997 fminv s23, v12.4s 6EB0F991 fminv s17, v12.4s 6EB0FB38 fminv s24, v25.4s 6EB0F86F fminv s15, v3.4s 6EB0F91A fminv s26, v8.4s 6EB0F90E fminv s14, v8.4s 6EB0FAAB fminv s11, v21.4s 6EB0F9AB fminv s11, v13.4s 6EB0F99A fminv s26, v12.4s 6EB0FAF2 fminv s18, v23.4s 6EB0FA6B fminv s11, v19.4s // FMIN_D_floatdp2 00011110011xxxxx010110xxxxxxxxxx 1E7B5B98 fmin d24, d28, d27 1E72580F fmin d15, d0, d18 1E755930 fmin d16, d9, d21 1E715A4D fmin d13, d18, d17 1E725A1F fmin d31, d16, d18 1E605B82 fmin d2, d28, d0 1E6B5842 fmin d2, d2, d11 1E615A15 fmin d21, d16, d1 1E645B84 fmin d4, d28, d4 1E7658EF fmin d15, d7, d22 1E795A7A fmin d26, d19, d25 1E6358D7 fmin d23, d6, d3 1E7D5866 fmin d6, d3, d29 1E79586D fmin d13, d3, d25 1E775AC8 fmin d8, d22, d23 1E765AEB fmin d11, d23, d22 // FMIN_H_floatdp2 00011110111xxxxx010110xxxxxxxxxx 1EE75949 fmin h9, h10, h7 1EF25A14 fmin h20, h16, h18 1EE65B4F fmin h15, h26, h6 1EEE589B fmin h27, h4, h14 1EEE5B0F fmin h15, h24, h14 1EEB5862 fmin h2, h3, h11 1EE85876 fmin h22, h3, h8 1EE558D1 fmin h17, h6, h5 1EEB5B9B fmin h27, h28, h11 1EF2589F fmin h31, h4, h18 1EE35A58 fmin h24, h18, h3 1EE45A3F fmin h31, h17, h4 1EF25A67 fmin h7, h19, h18 1EEF593D fmin h29, h9, h15 1EE958D2 fmin h18, h6, h9 1EF85B9C fmin h28, h28, h24 // FMIN_S_floatdp2 00011110001xxxxx010110xxxxxxxxxx 1E3E58E6 fmin s6, s7, s30 1E285B79 fmin s25, s27, s8 1E305B29 fmin s9, s25, s16 1E3C5AEC fmin s12, s23, s28 1E325976 fmin s22, s11, s18 1E265825 fmin s5, s1, s6 1E335968 fmin s8, s11, s19 1E2359B3 fmin s19, s13, s3 1E2C59C7 fmin s7, s14, s12 1E2C58C7 fmin s7, s6, s12 1E3D5BA2 fmin s2, s29, s29 1E2C5A8B fmin s11, s20, s12 1E2F5904 fmin s4, s8, s15 1E2E5996 fmin s22, s12, s14 1E285A08 fmin s8, s16, s8 1E3F580A fmin s10, s0, s31 // FMIN_asimdsame_only 0x0011101x1xxxxx111101xxxxxxxxxx 0EA4F667 fmin v7.2s, v19.2s, v4.2s 0EA0F629 fmin v9.2s, v17.2s, v0.2s 4EFAF595 fmin v21.2d, v12.2d, v26.2d 4EBFF7FA fmin v26.4s, v31.4s, v31.4s 4EF4F47B fmin v27.2d, v3.2d, v20.2d 4EF4F5AF fmin v15.2d, v13.2d, v20.2d 4EBDF62B fmin v11.4s, v17.4s, v29.4s 4EB2F7AD fmin v13.4s, v29.4s, v18.4s 0EA7F6A9 fmin v9.2s, v21.2s, v7.2s 4EF8F599 fmin v25.2d, v12.2d, v24.2d 4EA3F7C5 fmin v5.4s, v30.4s, v3.4s 0EA0F421 fmin v1.2s, v1.2s, v0.2s 4EAAF5BE fmin v30.4s, v13.4s, v10.4s 4EB4F49F fmin v31.4s, v4.4s, v20.4s 4EE0F69A fmin v26.2d, v20.2d, v0.2d 0EA6F4AC fmin v12.2s, v5.2s, v6.2s // FMIN_asimdsamefp16_only 0x001110110xxxxx0011xxxxxxxxxxxx 0EC5347E fmin v30.4h, v3.4h, v5.4h 0ED33406 fmin v6.4h, v0.4h, v19.4h 0EDE347C fmin v28.4h, v3.4h, v30.4h 4EDE3478 fmin v24.8h, v3.8h, v30.8h 0ED43707 fmin v7.4h, v24.4h, v20.4h 4ED8360B fmin v11.8h, v16.8h, v24.8h 0EC9348F fmin v15.4h, v4.4h, v9.4h 0EC43492 fmin v18.4h, v4.4h, v4.4h 0ED434D0 fmin v16.4h, v6.4h, v20.4h 4EC1348D fmin v13.8h, v4.8h, v1.8h 4ED53708 fmin v8.8h, v24.8h, v21.8h 0ECD3778 fmin v24.4h, v27.4h, v13.4h 0EC83681 fmin v1.4h, v20.4h, v8.4h 4EDA366B fmin v11.8h, v19.8h, v26.8h 0ED537F5 fmin v21.4h, v31.4h, v21.4h 0EC736AA fmin v10.4h, v21.4h, v7.4h // FMLAL2_asimdelem_LH 0x10111110xxxxxx1000x0xxxxxxxxxx 2FAF834C fmlal2 v12.2s, v26.2h, v15.h[2] 2FA38145 fmlal2 v5.2s, v10.2h, v3.h[2] 6FBC8934 fmlal2 v20.4s, v9.4h, v12.h[7] 2FAC80E6 fmlal2 v6.2s, v7.2h, v12.h[2] 6FA282B9 fmlal2 v25.4s, v21.4h, v2.h[2] 2FA68388 fmlal2 v8.2s, v28.2h, v6.h[2] 6F8482AD fmlal2 v13.4s, v21.4h, v4.h[0] 6FAC829E fmlal2 v30.4s, v20.4h, v12.h[2] 2FA48A4C fmlal2 v12.2s, v18.2h, v4.h[6] 2F8C88F3 fmlal2 v19.2s, v7.2h, v12.h[4] 6FAB8368 fmlal2 v8.4s, v27.4h, v11.h[2] 6FB4802A fmlal2 v10.4s, v1.4h, v4.h[3] 2F8F8BD1 fmlal2 v17.2s, v30.2h, v15.h[4] 6F9F884E fmlal2 v14.4s, v2.4h, v15.h[5] 6FAE8030 fmlal2 v16.4s, v1.4h, v14.h[2] 2F948A8B fmlal2 v11.2s, v20.2h, v4.h[5] // FMLAL2_asimdsame_F 0x101110001xxxxx110011xxxxxxxxxx 2E27CFC9 fmlal2 v9.2s, v30.2h, v7.2h 6E30CC01 fmlal2 v1.4s, v0.4h, v16.4h 6E2CCD7C fmlal2 v28.4s, v11.4h, v12.4h 2E2DCFBA fmlal2 v26.2s, v29.2h, v13.2h 6E30CC49 fmlal2 v9.4s, v2.4h, v16.4h 2E35CEA9 fmlal2 v9.2s, v21.2h, v21.2h 2E26CE21 fmlal2 v1.2s, v17.2h, v6.2h 2E28CD9E fmlal2 v30.2s, v12.2h, v8.2h 6E20CF76 fmlal2 v22.4s, v27.4h, v0.4h 6E3CCF81 fmlal2 v1.4s, v28.4h, v28.4h 6E29CEA0 fmlal2 v0.4s, v21.4h, v9.4h 2E2CCCC4 fmlal2 v4.2s, v6.2h, v12.2h 6E3FCC3A fmlal2 v26.4s, v1.4h, v31.4h 2E3ACCA3 fmlal2 v3.2s, v5.2h, v26.2h 2E28CCA4 fmlal2 v4.2s, v5.2h, v8.2h 6E27CC9A fmlal2 v26.4s, v4.4h, v7.4h // FMLAL_asimdelem_LH 0x00111110xxxxxx0000x0xxxxxxxxxx 0F9400A5 fmlal v5.2s, v5.2h, v4.h[1] 4FB50B3C fmlal v28.4s, v25.4h, v5.h[7] 0F8D03E6 fmlal v6.2s, v31.2h, v13.h[0] 4FB4014E fmlal v14.4s, v10.4h, v4.h[3] 4FAA0087 fmlal v7.4s, v4.4h, v10.h[2] 0FBA0B3C fmlal v28.2s, v25.2h, v10.h[7] 0F950B02 fmlal v2.2s, v24.2h, v5.h[5] 0F830092 fmlal v18.2s, v4.2h, v3.h[0] 0FAD0894 fmlal v20.2s, v4.2h, v13.h[6] 0FAF0879 fmlal v25.2s, v3.2h, v15.h[6] 4F8D0B71 fmlal v17.4s, v27.4h, v13.h[4] 0FB802F4 fmlal v20.2s, v23.2h, v8.h[3] 0FBC018B fmlal v11.2s, v12.2h, v12.h[3] 4F8E00D4 fmlal v20.4s, v6.4h, v14.h[0] 0FBD0904 fmlal v4.2s, v8.2h, v13.h[7] 0FA90873 fmlal v19.2s, v3.2h, v9.h[6] // FMLAL_asimdsame_F 0x001110001xxxxx111011xxxxxxxxxx 4E30EF32 fmlal v18.4s, v25.4h, v16.4h 0E2BEC13 fmlal v19.2s, v0.2h, v11.2h 0E23EE87 fmlal v7.2s, v20.2h, v3.2h 4E33EDEA fmlal v10.4s, v15.4h, v19.4h 0E28EE88 fmlal v8.2s, v20.2h, v8.2h 0E2EEC62 fmlal v2.2s, v3.2h, v14.2h 4E26EC14 fmlal v20.4s, v0.4h, v6.4h 0E23EECD fmlal v13.2s, v22.2h, v3.2h 0E37EC84 fmlal v4.2s, v4.2h, v23.2h 0E34EE38 fmlal v24.2s, v17.2h, v20.2h 4E26EFD1 fmlal v17.4s, v30.4h, v6.4h 4E3EEDFB fmlal v27.4s, v15.4h, v30.4h 4E32EEDC fmlal v28.4s, v22.4h, v18.4h 4E33EEAE fmlal v14.4s, v21.4h, v19.4h 0E25EDE5 fmlal v5.2s, v15.2h, v5.2h 0E2EED6E fmlal v14.2s, v11.2h, v14.2h // FMLA_asimdelem_RH_H 0x00111100xxxxxx0001x0xxxxxxxxxx 4F2913F6 fmla v22.8h, v31.8h, v9.h[2] 4F291277 fmla v23.8h, v19.8h, v9.h[2] 0F3B19BC fmla v28.4h, v13.4h, v11.h[7] 0F261A73 fmla v19.4h, v19.4h, v6.h[6] 0F381A56 fmla v22.4h, v18.4h, v8.h[7] 0F281395 fmla v21.4h, v28.4h, v8.h[2] 4F141A54 fmla v20.8h, v18.8h, v4.h[5] 0F0B18FE fmla v30.4h, v7.4h, v11.h[4] 0F2B1B10 fmla v16.4h, v24.4h, v11.h[6] 0F2210F9 fmla v25.4h, v7.4h, v2.h[2] 4F1E1B81 fmla v1.8h, v28.8h, v14.h[5] 0F0A12CD fmla v13.4h, v22.4h, v10.h[0] 4F3F1125 fmla v5.8h, v9.8h, v15.h[3] 4F0B1A76 fmla v22.8h, v19.8h, v11.h[4] 0F0B13AE fmla v14.4h, v29.4h, v11.h[0] 4F271893 fmla v19.8h, v4.8h, v7.h[6] // FMLA_asimdelem_R_SD 0x0011111xxxxxxx0001x0xxxxxxxxxx 4F9710BC fmla v28.4s, v5.4s, v23.s[0] 4FA71315 fmla v21.4s, v24.4s, v7.s[1] 0F97107D fmla v29.2s, v3.2s, v23.s[0] 0FA01284 fmla v4.2s, v20.2s, v0.s[1] 4F8619D8 fmla v24.4s, v14.4s, v6.s[2] 0F8E124E fmla v14.2s, v18.2s, v14.s[0] 4FA71301 fmla v1.4s, v24.4s, v7.s[1] 4FD8119E fmla v30.2d, v12.2d, v24.d[0] 4F931117 fmla v23.4s, v8.4s, v19.s[0] 0F96182E fmla v14.2s, v1.2s, v22.s[2] 4FCC189C fmla v28.2d, v4.2d, v12.d[1] 4FAC189B fmla v27.4s, v4.4s, v12.s[3] 0FBE11E8 fmla v8.2s, v15.2s, v30.s[1] 4FCD1889 fmla v9.2d, v4.2d, v13.d[1] 4FAA101B fmla v27.4s, v0.4s, v10.s[1] 4FBA19A5 fmla v5.4s, v13.4s, v26.s[3] // FMLA_asimdsame_only 0x0011100x1xxxxx110xxxxxxxxxxxxx 4E35CF4D fmla v13.4s, v26.4s, v21.4s 4E2ECE43 fmla v3.4s, v18.4s, v14.4s 4E3FCFA1 fmla v1.4s, v29.4s, v31.4s 4E39CEAD fmla v13.4s, v21.4s, v25.4s 4E7BCE2C fmla v12.2d, v17.2d, v27.2d 0E33CDA3 fmla v3.2s, v13.2s, v19.2s 0E3CCDAE fmla v14.2s, v13.2s, v28.2s 4E2ACDCC fmla v12.4s, v14.4s, v10.4s 0E32CFCE fmla v14.2s, v30.2s, v18.2s 4E24CCEF fmla v15.4s, v7.4s, v4.4s 4E22CD63 fmla v3.4s, v11.4s, v2.4s 4E20CD2D fmla v13.4s, v9.4s, v0.4s 0E21CC88 fmla v8.2s, v4.2s, v1.2s 4E6ACCD9 fmla v25.2d, v6.2d, v10.2d 4E60CCDB fmla v27.2d, v6.2d, v0.2d 4E6BCE86 fmla v6.2d, v20.2d, v11.2d // FMLA_asimdsamefp16_only 0x001110010xxxxx000011xxxxxxxxxx 4E400DBB fmla v27.8h, v13.8h, v0.8h 4E560EC5 fmla v5.8h, v22.8h, v22.8h 4E4B0E0F fmla v15.8h, v16.8h, v11.8h 4E480CED fmla v13.8h, v7.8h, v8.8h 0E530E62 fmla v2.4h, v19.4h, v19.4h 4E560CB2 fmla v18.8h, v5.8h, v22.8h 4E5E0FFC fmla v28.8h, v31.8h, v30.8h 0E420CA0 fmla v0.4h, v5.4h, v2.4h 4E450F29 fmla v9.8h, v25.8h, v5.8h 4E550D17 fmla v23.8h, v8.8h, v21.8h 4E530EC2 fmla v2.8h, v22.8h, v19.8h 4E410EE0 fmla v0.8h, v23.8h, v1.8h 4E580F21 fmla v1.8h, v25.8h, v24.8h 4E4A0F08 fmla v8.8h, v24.8h, v10.8h 4E4B0E93 fmla v19.8h, v20.8h, v11.8h 4E520FF5 fmla v21.8h, v31.8h, v18.8h // FMLA_asisdelem_RH_H 0101111100xxxxxx0001xxxxxxxxxxxx 5F021AF1 fmla h17, h23, v2.h[4] 5F1D1196 fmla h22, h12, v13.h[1] 5F0913C3 fmla h3, h30, v9.h[0] 5F3D11D3 fmla h19, h14, v13.h[3] 5F3E117F fmla h31, h11, v14.h[3] 5F2D1916 fmla h22, h8, v13.h[6] 5F1C128B fmla h11, h20, v12.h[1] 5F091977 fmla h23, h11, v9.h[4] 5F1B193D fmla h29, h9, v11.h[5] 5F381B0D fmla h13, h24, v8.h[7] 5F0B10C4 fmla h4, h6, v11.h[0] 5F091BBD fmla h29, h29, v9.h[4] 5F38185E fmla h30, h2, v8.h[7] 5F3B19CD fmla h13, h14, v11.h[7] 5F2119C1 fmla h1, h14, v1.h[6] 5F3313D2 fmla h18, h30, v3.h[3] // FMLA_asisdelem_R_SD 010111111xxxxxxx0001x0xxxxxxxxxx 5F9218D0 fmla s16, s6, v18.s[2] 5F931354 fmla s20, s26, v19.s[0] 5F9F13F3 fmla s19, s31, v31.s[0] 5F8E11B0 fmla s16, s13, v14.s[0] 5F811A7C fmla s28, s19, v1.s[2] 5FCD110E fmla d14, d8, v13.d[0] 5F8A108E fmla s14, s4, v10.s[0] 5FA11223 fmla s3, s17, v1.s[1] 5FDB1017 fmla d23, d0, v27.d[0] 5F8810AA fmla s10, s5, v8.s[0] 5FA618DC fmla s28, s6, v6.s[3] 5F8018F3 fmla s19, s7, v0.s[2] 5FD11B78 fmla d24, d27, v17.d[1] 5F9E1090 fmla s16, s4, v30.s[0] 5FB81144 fmla s4, s10, v24.s[1] 5FDF1894 fmla d20, d4, v31.d[1] // FMLSL2_asimdelem_LH 0x10111110xxxxxx1100x0xxxxxxxxxx 2F8AC11A fmlsl2 v26.2s, v8.2h, v10.h[0] 2F88C332 fmlsl2 v18.2s, v25.2h, v8.h[0] 6F81C20D fmlsl2 v13.4s, v16.4h, v1.h[0] 6FB2C389 fmlsl2 v9.4s, v28.4h, v2.h[3] 2FB6C19A fmlsl2 v26.2s, v12.2h, v6.h[3] 6FA9C206 fmlsl2 v6.4s, v16.4h, v9.h[2] 6FB2C114 fmlsl2 v20.4s, v8.4h, v2.h[3] 6FB0CADB fmlsl2 v27.4s, v22.4h, v0.h[7] 2F87C9D5 fmlsl2 v21.2s, v14.2h, v7.h[4] 2F81C350 fmlsl2 v16.2s, v26.2h, v1.h[0] 2F87C155 fmlsl2 v21.2s, v10.2h, v7.h[0] 6FBDC36C fmlsl2 v12.4s, v27.4h, v13.h[3] 6F82C07D fmlsl2 v29.4s, v3.4h, v2.h[0] 2FB3C81C fmlsl2 v28.2s, v0.2h, v3.h[7] 6F8DC158 fmlsl2 v24.4s, v10.4h, v13.h[0] 6FB2CA6F fmlsl2 v15.4s, v19.4h, v2.h[7] // FMLSL2_asimdsame_F 0x101110101xxxxx110011xxxxxxxxxx 6EA1CD40 fmlsl2 v0.4s, v10.4h, v1.4h 6EB0CC92 fmlsl2 v18.4s, v4.4h, v16.4h 2EBCCF15 fmlsl2 v21.2s, v24.2h, v28.2h 2EB2CF0D fmlsl2 v13.2s, v24.2h, v18.2h 6EB0CE8A fmlsl2 v10.4s, v20.4h, v16.4h 2EABCC73 fmlsl2 v19.2s, v3.2h, v11.2h 2EA8CEAB fmlsl2 v11.2s, v21.2h, v8.2h 2EAFCF92 fmlsl2 v18.2s, v28.2h, v15.2h 6EA8CF69 fmlsl2 v9.4s, v27.4h, v8.4h 2EB1CC0C fmlsl2 v12.2s, v0.2h, v17.2h 6EA7CF0B fmlsl2 v11.4s, v24.4h, v7.4h 2EB6CFF9 fmlsl2 v25.2s, v31.2h, v22.2h 6EADCC53 fmlsl2 v19.4s, v2.4h, v13.4h 2EBECE89 fmlsl2 v9.2s, v20.2h, v30.2h 6EA9CC00 fmlsl2 v0.4s, v0.4h, v9.4h 2EAFCF46 fmlsl2 v6.2s, v26.2h, v15.2h // FMLSL_asimdelem_LH 0x00111110xxxxxx0100x0xxxxxxxxxx 0F8D4139 fmlsl v25.2s, v9.2h, v13.h[0] 4F934200 fmlsl v0.4s, v16.4h, v3.h[1] 4FA748EC fmlsl v12.4s, v7.4h, v7.h[6] 0F8F403F fmlsl v31.2s, v1.2h, v15.h[0] 0FAF40F8 fmlsl v24.2s, v7.2h, v15.h[2] 0F904840 fmlsl v0.2s, v2.2h, v0.h[5] 0FB043D1 fmlsl v17.2s, v30.2h, v0.h[3] 0F9D4B20 fmlsl v0.2s, v25.2h, v13.h[5] 4F964099 fmlsl v25.4s, v4.4h, v6.h[1] 4F9F4862 fmlsl v2.4s, v3.4h, v15.h[5] 4F854055 fmlsl v21.4s, v2.4h, v5.h[0] 0FB04956 fmlsl v22.2s, v10.2h, v0.h[7] 0FAB4B12 fmlsl v18.2s, v24.2h, v11.h[6] 4FA142A4 fmlsl v4.4s, v21.4h, v1.h[2] 4F8D436D fmlsl v13.4s, v27.4h, v13.h[0] 4FA0425F fmlsl v31.4s, v18.4h, v0.h[2] // FMLSL_asimdsame_F 0x001110101xxxxx111011xxxxxxxxxx 0EA6EF4F fmlsl v15.2s, v26.2h, v6.2h 0EA8ED50 fmlsl v16.2s, v10.2h, v8.2h 0EA6EDB0 fmlsl v16.2s, v13.2h, v6.2h 4EB4EE09 fmlsl v9.4s, v16.4h, v20.4h 0EB0ED1B fmlsl v27.2s, v8.2h, v16.2h 0EB3EDCF fmlsl v15.2s, v14.2h, v19.2h 0EA9ED25 fmlsl v5.2s, v9.2h, v9.2h 0EB5EFDA fmlsl v26.2s, v30.2h, v21.2h 0EBFED27 fmlsl v7.2s, v9.2h, v31.2h 4EAEEF70 fmlsl v16.4s, v27.4h, v14.4h 0EA6EE21 fmlsl v1.2s, v17.2h, v6.2h 0EA4EC5A fmlsl v26.2s, v2.2h, v4.2h 0EAFED81 fmlsl v1.2s, v12.2h, v15.2h 4EADEFFD fmlsl v29.4s, v31.4h, v13.4h 0EAFECDD fmlsl v29.2s, v6.2h, v15.2h 4EA3EF6F fmlsl v15.4s, v27.4h, v3.4h // FMLS_asimdelem_RH_H 0x00111100xxxxxx0101x0xxxxxxxxxx 4F015910 fmls v16.8h, v8.8h, v1.h[4] 0F185236 fmls v22.4h, v17.4h, v8.h[1] 0F1653FB fmls v27.4h, v31.4h, v6.h[1] 4F265B86 fmls v6.8h, v28.8h, v6.h[6] 4F3A50F5 fmls v21.8h, v7.8h, v10.h[3] 0F1259CA fmls v10.4h, v14.4h, v2.h[5] 4F0158D9 fmls v25.8h, v6.8h, v1.h[4] 0F075AE7 fmls v7.4h, v23.4h, v7.h[4] 4F185A68 fmls v8.8h, v19.8h, v8.h[5] 4F0452BE fmls v30.8h, v21.8h, v4.h[0] 0F0C5044 fmls v4.4h, v2.4h, v12.h[0] 4F0A5A81 fmls v1.8h, v20.8h, v10.h[4] 4F2452C6 fmls v6.8h, v22.8h, v4.h[2] 0F2A5272 fmls v18.4h, v19.4h, v10.h[2] 4F0B5109 fmls v9.8h, v8.8h, v11.h[0] 4F0B5011 fmls v17.8h, v0.8h, v11.h[0] // FMLS_asimdelem_R_SD 0x0011111xxxxxxx0101x0xxxxxxxxxx 4FB85BFA fmls v26.4s, v31.4s, v24.s[3] 0FA45A5E fmls v30.2s, v18.2s, v4.s[3] 0F9D581B fmls v27.2s, v0.2s, v29.s[2] 4F8052C8 fmls v8.4s, v22.4s, v0.s[0] 0FB459C9 fmls v9.2s, v14.2s, v20.s[3] 0F8950E1 fmls v1.2s, v7.2s, v9.s[0] 4FB152F4 fmls v20.4s, v23.4s, v17.s[1] 4F845A28 fmls v8.4s, v17.4s, v4.s[2] 0F8A534D fmls v13.2s, v26.2s, v10.s[0] 0F8959EB fmls v11.2s, v15.2s, v9.s[2] 4FA652ED fmls v13.4s, v23.4s, v6.s[1] 0FB5516E fmls v14.2s, v11.2s, v21.s[1] 0F965094 fmls v20.2s, v4.2s, v22.s[0] 0F9C530E fmls v14.2s, v24.2s, v28.s[0] 4FC25A82 fmls v2.2d, v20.2d, v2.d[1] 4FC958CA fmls v10.2d, v6.2d, v9.d[1] // FMLS_asimdsame_only 0x0011101x1xxxxx110xxxxxxxxxxxxx 4EA1CF9A fmls v26.4s, v28.4s, v1.4s 0EA1CD00 fmls v0.2s, v8.2s, v1.2s 4EF5CFB2 fmls v18.2d, v29.2d, v21.2d 0EA3CCF7 fmls v23.2s, v7.2s, v3.2s 4EB8CF8A fmls v10.4s, v28.4s, v24.4s 0EA5CF5E fmls v30.2s, v26.2s, v5.2s 4EACCE9D fmls v29.4s, v20.4s, v12.4s 0EBECD2F fmls v15.2s, v9.2s, v30.2s 0EA3CF28 fmls v8.2s, v25.2s, v3.2s 4EE7CF75 fmls v21.2d, v27.2d, v7.2d 4EE4CD25 fmls v5.2d, v9.2d, v4.2d 4EEDCD83 fmls v3.2d, v12.2d, v13.2d 0EB6CCFD fmls v29.2s, v7.2s, v22.2s 4EEACC12 fmls v18.2d, v0.2d, v10.2d 4EEACEE4 fmls v4.2d, v23.2d, v10.2d 4EE2CCD4 fmls v20.2d, v6.2d, v2.2d // FMLS_asimdsamefp16_only 0x001110110xxxxx000011xxxxxxxxxx 0EC40F36 fmls v22.4h, v25.4h, v4.4h 0ECC0DFA fmls v26.4h, v15.4h, v12.4h 0ED50DB4 fmls v20.4h, v13.4h, v21.4h 4ED60D43 fmls v3.8h, v10.8h, v22.8h 0EC90C47 fmls v7.4h, v2.4h, v9.4h 4EC40DAE fmls v14.8h, v13.8h, v4.8h 4EC20C13 fmls v19.8h, v0.8h, v2.8h 4ED60C99 fmls v25.8h, v4.8h, v22.8h 0ECD0C72 fmls v18.4h, v3.4h, v13.4h 0EDA0F61 fmls v1.4h, v27.4h, v26.4h 4ECC0D81 fmls v1.8h, v12.8h, v12.8h 4EC30D88 fmls v8.8h, v12.8h, v3.8h 4ED10D10 fmls v16.8h, v8.8h, v17.8h 4EDB0E4A fmls v10.8h, v18.8h, v27.8h 0EC90CB5 fmls v21.4h, v5.4h, v9.4h 0EC10C4B fmls v11.4h, v2.4h, v1.4h // FMLS_asisdelem_RH_H 0101111100xxxxxx0101xxxxxxxxxxxx 5F0258D0 fmls h16, h6, v2.h[4] 5F335955 fmls h21, h10, v3.h[7] 5F345345 fmls h5, h26, v4.h[3] 5F2359D2 fmls h18, h14, v3.h[6] 5F2551D8 fmls h24, h14, v5.h[2] 5F255A5B fmls h27, h18, v5.h[6] 5F235AB0 fmls h16, h21, v3.h[6] 5F3259F2 fmls h18, h15, v2.h[7] 5F215197 fmls h23, h12, v1.h[2] 5F1750E5 fmls h5, h7, v7.h[1] 5F335049 fmls h9, h2, v3.h[3] 5F38504B fmls h11, h2, v8.h[3] 5F035841 fmls h1, h2, v3.h[4] 5F085065 fmls h5, h3, v8.h[0] 5F2B5BCD fmls h13, h30, v11.h[6] 5F085113 fmls h19, h8, v8.h[0] // FMLS_asisdelem_R_SD 010111111xxxxxxx0101x0xxxxxxxxxx 5FD85AB9 fmls d25, d21, v24.d[1] 5F8553E6 fmls s6, s31, v5.s[0] 5F875883 fmls s3, s4, v7.s[2] 5FB75B3B fmls s27, s25, v23.s[3] 5F9D529F fmls s31, s20, v29.s[0] 5FA75119 fmls s25, s8, v7.s[1] 5FB65A0A fmls s10, s16, v22.s[3] 5FB250EB fmls s11, s7, v18.s[1] 5FCB5B61 fmls d1, d27, v11.d[1] 5F885B74 fmls s20, s27, v8.s[2] 5FB85321 fmls s1, s25, v24.s[1] 5F9252BB fmls s27, s21, v18.s[0] 5F8E5383 fmls s3, s28, v14.s[0] 5FC55B81 fmls d1, d28, v5.d[1] 5FBA51D4 fmls s20, s14, v26.s[1] 5FB85130 fmls s16, s9, v24.s[1] // FMOV_32H_float2int 0001111011100110000000xxxxxxxxxx 1EE60222 fmov w2, h17 1EE60298 fmov w24, h20 1EE602CA fmov w10, h22 1EE601BA fmov w26, h13 1EE6003F fmov wzr, h1 1EE60287 fmov w7, h20 1EE60245 fmov w5, h18 1EE601C6 fmov w6, h14 1EE600FF fmov wzr, h7 1EE60119 fmov w25, h8 1EE601DD fmov w29, h14 1EE602DF fmov wzr, h22 1EE6014E fmov w14, h10 1EE60195 fmov w21, h12 1EE60217 fmov w23, h16 1EE60272 fmov w18, h19 // FMOV_32S_float2int 0001111000100110000000xxxxxxxxxx 1E2602EA fmov w10, s23 1E2602EC fmov w12, s23 1E260088 fmov w8, s4 1E260385 fmov w5, s28 1E26036C fmov w12, s27 1E260365 fmov w5, s27 1E260211 fmov w17, s16 1E260015 fmov w21, s0 1E2601DB fmov w27, s14 1E26027C fmov w28, s19 1E2601B4 fmov w20, s13 1E2602C8 fmov w8, s22 1E2600B8 fmov w24, s5 1E2601FC fmov w28, s15 1E2601C7 fmov w7, s14 1E2602F7 fmov w23, s23 // FMOV_64D_float2int 1001111001100110000000xxxxxxxxxx 9E6603F9 fmov x25, d31 9E660315 fmov x21, d24 9E66001A fmov x26, d0 9E6602A5 fmov x5, d21 9E660066 fmov x6, d3 9E660068 fmov x8, d3 9E6601C3 fmov x3, d14 9E660317 fmov x23, d24 9E6601FA fmov x26, d15 9E660060 fmov x0, d3 9E6603A8 fmov x8, d29 9E66016B fmov x11, d11 9E660122 fmov x2, d9 9E66028A fmov x10, d20 9E6602B6 fmov x22, d21 9E6601B7 fmov x23, d13 // FMOV_64H_float2int 1001111011100110000000xxxxxxxxxx 9EE6017A fmov x26, h11 9EE60075 fmov x21, h3 9EE602A3 fmov x3, h21 9EE603CF fmov x15, h30 9EE6011B fmov x27, h8 9EE60039 fmov x25, h1 9EE6035A fmov x26, h26 9EE602DF fmov xzr, h22 9EE60153 fmov x19, h10 9EE60250 fmov x16, h18 9EE6010A fmov x10, h8 9EE6000F fmov x15, h0 9EE60396 fmov x22, h28 9EE6034C fmov x12, h26 9EE603BE fmov x30, h29 9EE60125 fmov x5, h9 // FMOV_64VX_float2int 1001111010101110000000xxxxxxxxxx 9EAE0384 fmov x4, v28.d[1] 9EAE0107 fmov x7, v8.d[1] 9EAE02E4 fmov x4, v23.d[1] 9EAE02AF fmov x15, v21.d[1] 9EAE0351 fmov x17, v26.d[1] 9EAE0335 fmov x21, v25.d[1] 9EAE0320 fmov x0, v25.d[1] 9EAE0192 fmov x18, v12.d[1] 9EAE00EA fmov x10, v7.d[1] 9EAE0207 fmov x7, v16.d[1] 9EAE00E2 fmov x2, v7.d[1] 9EAE0161 fmov x1, v11.d[1] 9EAE03EE fmov x14, v31.d[1] 9EAE026D fmov x13, v19.d[1] 9EAE0088 fmov x8, v4.d[1] 9EAE0350 fmov x16, v26.d[1] // FMOV_D64_float2int 1001111001100111000000xxxxxxxxxx 9E670013 fmov d19, x0 9E6702A8 fmov d8, x21 9E6703F6 fmov d22, xzr 9E6700CD fmov d13, x6 9E670198 fmov d24, x12 9E6701D7 fmov d23, x14 9E6703B4 fmov d20, x29 9E6700A1 fmov d1, x5 9E67017F fmov d31, x11 9E670237 fmov d23, x17 9E670134 fmov d20, x9 9E670269 fmov d9, x19 9E6703E7 fmov d7, xzr 9E6702F4 fmov d20, x23 9E6702BE fmov d30, x21 9E67004D fmov d13, x2 // FMOV_D_floatdp1 0001111001100000010000xxxxxxxxxx 1E604378 fmov d24, d27 1E604273 fmov d19, d19 1E6043A4 fmov d4, d29 1E6041A6 fmov d6, d13 1E604230 fmov d16, d17 1E604145 fmov d5, d10 1E6042B2 fmov d18, d21 1E60427E fmov d30, d19 1E60426E fmov d14, d19 1E6041FB fmov d27, d15 1E604101 fmov d1, d8 1E6040F3 fmov d19, d7 1E6043DA fmov d26, d30 1E6040EA fmov d10, d7 1E604283 fmov d3, d20 1E6040E9 fmov d9, d7 // FMOV_D_floatimm 00011110011xxxxxxxx10000000xxxxx 1E7FF011 fmov d17, #-1.9375 1E713013 fmov d19, #-3.125 1E6A3003 fmov d3, #0.265625 1E6A501C fmov d28, #0.28125 1E70F013 fmov d19, #-2.875 1E66700B fmov d11, #19.0 1E7E501A fmov d26, #-1.125 1E743006 fmov d6, #-8.5 1E7F9018 fmov d24, #-1.75 1E685012 fmov d18, #0.140625 1E73B019 fmov d25, #-7.25 1E79900C fmov d12, #-0.21875 1E65501E fmov d30, #13.0 1E663012 fmov d18, #17.0 1E6D900D fmov d13, #0.875 1E74B00F fmov d15, #-10.5 // FMOV_H32_float2int 0001111011100111000000xxxxxxxxxx 1EE7027C fmov h28, w19 1EE700A2 fmov h2, w5 1EE70112 fmov h18, w8 1EE70179 fmov h25, w11 1EE70221 fmov h1, w17 1EE70211 fmov h17, w16 1EE700A4 fmov h4, w5 1EE70252 fmov h18, w18 1EE70355 fmov h21, w26 1EE702EA fmov h10, w23 1EE70009 fmov h9, w0 1EE703FB fmov h27, wzr 1EE701D2 fmov h18, w14 1EE7033A fmov h26, w25 1EE70167 fmov h7, w11 1EE70024 fmov h4, w1 // FMOV_H64_float2int 1001111011100111000000xxxxxxxxxx 9EE701CA fmov h10, x14 9EE703A9 fmov h9, x29 9EE70153 fmov h19, x10 9EE703B4 fmov h20, x29 9EE703FB fmov h27, xzr 9EE70394 fmov h20, x28 9EE701C8 fmov h8, x14 9EE70102 fmov h2, x8 9EE70141 fmov h1, x10 9EE700D0 fmov h16, x6 9EE701E3 fmov h3, x15 9EE70297 fmov h23, x20 9EE70157 fmov h23, x10 9EE7035C fmov h28, x26 9EE7032F fmov h15, x25 9EE700A0 fmov h0, x5 // FMOV_H_floatdp1 0001111011100000010000xxxxxxxxxx 1EE042E6 fmov h6, h23 1EE04386 fmov h6, h28 1EE040FC fmov h28, h7 1EE040DC fmov h28, h6 1EE0407A fmov h26, h3 1EE0402F fmov h15, h1 1EE04065 fmov h5, h3 1EE042F9 fmov h25, h23 1EE041DE fmov h30, h14 1EE041BD fmov h29, h13 1EE04153 fmov h19, h10 1EE04295 fmov h21, h20 1EE0419B fmov h27, h12 1EE0403F fmov h31, h1 1EE0431D fmov h29, h24 1EE04389 fmov h9, h28 // FMOV_H_floatimm 00011110111xxxxxxxx10000000xxxxx 1EF2B017 fmov h23, #-5.25 1EE4D019 fmov h25, #11.0 1EFAB013 fmov h19, #-0.328125 1EE33000 fmov h0, #6.25 1EF73001 fmov h1, #-25.0 1EFC5009 fmov h9, #-0.5625 1EE8901D fmov h29, #0.15625 1EEB7012 fmov h18, #0.421875 1EFAB009 fmov h9, #-0.328125 1EE7F00F fmov h15, #31.0 1EEFD000 fmov h0, #1.875 1EE09014 fmov h20, #2.5 1EF73004 fmov h4, #-25.0 1EE7D015 fmov h21, #30.0 1EF41002 fmov h2, #-8.0 1EEA300C fmov h12, #0.265625 // FMOV_S32_float2int 0001111000100111000000xxxxxxxxxx 1E270051 fmov s17, w2 1E2703E1 fmov s1, wzr 1E2700ED fmov s13, w7 1E270242 fmov s2, w18 1E2700C7 fmov s7, w6 1E2703E0 fmov s0, wzr 1E2701F3 fmov s19, w15 1E27008D fmov s13, w4 1E27032F fmov s15, w25 1E27034D fmov s13, w26 1E2701C6 fmov s6, w14 1E270220 fmov s0, w17 1E270362 fmov s2, w27 1E270353 fmov s19, w26 1E2703C2 fmov s2, w30 1E27039D fmov s29, w28 // FMOV_S_floatdp1 0001111000100000010000xxxxxxxxxx 1E204164 fmov s4, s11 1E204057 fmov s23, s2 1E2043A4 fmov s4, s29 1E20431D fmov s29, s24 1E20433D fmov s29, s25 1E204238 fmov s24, s17 1E204158 fmov s24, s10 1E2040F5 fmov s21, s7 1E204153 fmov s19, s10 1E2043B4 fmov s20, s29 1E20401E fmov s30, s0 1E204226 fmov s6, s17 1E2043EB fmov s11, s31 1E2041B1 fmov s17, s13 1E2040FD fmov s29, s7 1E2043CC fmov s12, s30 // FMOV_S_floatimm 00011110001xxxxxxxx10000000xxxxx 1E3F1011 fmov s17, #-1.5 1E26B00E fmov s14, #21.0 1E38F002 fmov s2, #-0.1796875 1E3C701E fmov s30, #-0.59375 1E3D101B fmov s27, #-0.75 1E3ED013 fmov s19, #-1.375 1E24B002 fmov s2, #10.5 1E29F004 fmov s4, #0.2421875 1E2DF01F fmov s31, #0.96875 1E2BB00C fmov s12, #0.453125 1E249018 fmov s24, #10.0 1E23B008 fmov s8, #7.25 1E359012 fmov s18, #-14.0 1E3DB009 fmov s9, #-0.90625 1E3C7005 fmov s5, #-0.59375 1E27301F fmov s31, #25.0 // FMOV_V64I_float2int 1001111010101111000000xxxxxxxxxx 9EAF034E fmov v14.d[1], x26 9EAF01DC fmov v28.d[1], x14 9EAF01B7 fmov v23.d[1], x13 9EAF03ED fmov v13.d[1], xzr 9EAF00CC fmov v12.d[1], x6 9EAF0243 fmov v3.d[1], x18 9EAF03B7 fmov v23.d[1], x29 9EAF02C6 fmov v6.d[1], x22 9EAF03CA fmov v10.d[1], x30 9EAF0227 fmov v7.d[1], x17 9EAF026E fmov v14.d[1], x19 9EAF004B fmov v11.d[1], x2 9EAF01BC fmov v28.d[1], x13 9EAF0111 fmov v17.d[1], x8 9EAF036D fmov v13.d[1], x27 9EAF00E8 fmov v8.d[1], x7 // FMOV_asimdimm_D2_d 0110111100000xxx1111xxxxxxxxxxxx 6F04F50D fmov v13.2d, #-3.0 6F05F6D8 fmov v24.2d, #-22.0 6F05F73D fmov v29.2d, #-25.0 6F05F799 fmov v25.2d, #-28.0 6F02F459 fmov v25.2d, #0.140625 6F02F727 fmov v7.2d, #0.390625 6F03F740 fmov v0.2d, #1.625 6F00F676 fmov v22.2d, #4.75 6F06F652 fmov v18.2d, #-0.28125 6F04F705 fmov v5.2d, #-6.0 6F06F45E fmov v30.2d, #-0.140625 6F03F445 fmov v5.2d, #0.5625 6F04F6BC fmov v28.2d, #-5.25 6F04F696 fmov v22.2d, #-5.0 6F07F4B9 fmov v25.2d, #-0.65625 6F01F6EF fmov v15.2d, #23.0 // FMOV_asimdimm_H_h 0x00111100000xxx111111xxxxxxxxxx 0F01FD7D fmov v29.4h, #13.5 4F06FD30 fmov v16.8h, #-0.1953125 0F01FEA9 fmov v9.4h, #21.0 4F06FE73 fmov v19.8h, #-0.296875 0F06FE2E fmov v14.4h, #-0.265625 0F01FF08 fmov v8.4h, #24.0 4F05FEA7 fmov v7.8h, #-21.0 4F01FF78 fmov v24.8h, #27.0 0F07FFAB fmov v11.4h, #-1.8125 4F01FE4C fmov v12.8h, #18.0 4F01FCAC fmov v12.8h, #10.5 0F05FC27 fmov v7.4h, #-8.5 4F04FCCC fmov v12.8h, #-2.75 4F05FD00 fmov v0.8h, #-12.0 0F07FC83 fmov v3.4h, #-0.625 0F07FF14 fmov v20.4h, #-1.5 // FMOV_asimdimm_S_s 0x00111100000xxx111101xxxxxxxxxx 0F04F737 fmov v23.2s, #-6.25 0F04F40D fmov v13.2s, #-2.0 4F03F6C0 fmov v0.4s, #1.375 4F01F701 fmov v1.4s, #24.0 4F07F49B fmov v27.4s, #-0.625 0F07F75C fmov v28.2s, #-1.625 4F03F6BB fmov v27.4s, #1.3125 4F00F61C fmov v28.4s, #4.0 0F04F67D fmov v29.2s, #-4.75 0F02F4B8 fmov v24.2s, #0.1640625 4F02F733 fmov v19.4s, #0.390625 0F07F497 fmov v23.2s, #-0.625 4F03F64E fmov v14.4s, #1.125 4F06F532 fmov v18.4s, #-0.1953125 4F02F5F9 fmov v25.4s, #0.2421875 0F04F766 fmov v6.2s, #-6.75 // FMOV_fcpy_z_p_i_ 00000101xx01xxxx110xxxxxxxxxxxxx 05D0CDDB fmov z27.d, p0/m, #0.9375 0594DA2F fmov z15.s, p4/m, #-0.265625 05D2C535 fmov z21.d, p2/m, #12.5 0599C0CF fmov z15.s, p9/m, #2.75 0598C462 fmov z2.s, p8/m, #9.5 0597D2FE fmov z30.s, p7/m, #-5.75 0557D486 fmov z6.h, p7/m, #-10.0 0558D05D fmov z29.h, p8/m, #-2.25 05D5CD6D fmov z13.d, p5/m, #0.84375 0595C2A8 fmov z8.s, p5/m, #5.25 05DCCE0E fmov z14.d, p12/m, #1.0 05D3C6A8 fmov z8.d, p3/m, #21.0 055FD5FA fmov z26.h, p15/m, #-15.5 05D3DCB3 fmov z19.d, p3/m, #-0.65625 0596D46B fmov z11.s, p6/m, #-9.5 05D7CE7A fmov z26.d, p7/m, #1.1875 // FMOV_fdup_z_i_ 00100101xx111001110xxxxxxxxxxxxx 25F9D7A8 fmov z8.d, #-29.0 25F9D1D0 fmov z16.d, #-3.75 2579C863 fmov z3.h, #0.1484375 25B9DE8B fmov z11.s, #-1.25 25F9C289 fmov z9.d, #5.0 2579DE25 fmov z5.h, #-1.0625 25B9CFED fmov z13.s, #1.9375 2579D95B fmov z27.h, #-0.203125 25F9D60C fmov z12.d, #-16.0 25F9D308 fmov z8.d, #-6.0 25B9CDF4 fmov z20.s, #0.96875 25F9D23B fmov z27.d, #-4.25 25F9C9F0 fmov z16.d, #0.2421875 2579DB27 fmov z7.h, #-0.390625 25F9D562 fmov z2.d, #-13.5 25F9DF30 fmov z16.d, #-1.5625 // FMSUB_D_floatdp3 00011111010xxxxx1xxxxxxxxxxxxxxx 1F5994E5 fmsub d5, d7, d25, d5 1F418389 fmsub d9, d28, d1, d0 1F43E6D4 fmsub d20, d22, d3, d25 1F5C8CCF fmsub d15, d6, d28, d3 1F40E4BD fmsub d29, d5, d0, d25 1F48EC25 fmsub d5, d1, d8, d27 1F57A030 fmsub d16, d1, d23, d8 1F5E8DDA fmsub d26, d14, d30, d3 1F48D4DA fmsub d26, d6, d8, d21 1F599279 fmsub d25, d19, d25, d4 1F5E838F fmsub d15, d28, d30, d0 1F44941B fmsub d27, d0, d4, d5 1F4FDB74 fmsub d20, d27, d15, d22 1F5BAB51 fmsub d17, d26, d27, d10 1F5BE599 fmsub d25, d12, d27, d25 1F528145 fmsub d5, d10, d18, d0 // FMSUB_H_floatdp3 00011111110xxxxx1xxxxxxxxxxxxxxx 1FDDDE0F fmsub h15, h16, h29, h23 1FD9D2A4 fmsub h4, h21, h25, h20 1FC0E00F fmsub h15, h0, h0, h24 1FDAAA97 fmsub h23, h20, h26, h10 1FD78B32 fmsub h18, h25, h23, h2 1FD4C32F fmsub h15, h25, h20, h16 1FD7E994 fmsub h20, h12, h23, h26 1FC6DA4F fmsub h15, h18, h6, h22 1FC8ACCF fmsub h15, h6, h8, h11 1FC7916E fmsub h14, h11, h7, h4 1FC4B021 fmsub h1, h1, h4, h12 1FCCA001 fmsub h1, h0, h12, h8 1FC6985B fmsub h27, h2, h6, h6 1FCBF485 fmsub h5, h4, h11, h29 1FD0B243 fmsub h3, h18, h16, h12 1FD6DE53 fmsub h19, h18, h22, h23 // FMSUB_S_floatdp3 00011111000xxxxx1xxxxxxxxxxxxxxx 1F1A813F fmsub s31, s9, s26, s0 1F12FFF4 fmsub s20, s31, s18, s31 1F10C872 fmsub s18, s3, s16, s18 1F1DF4B6 fmsub s22, s5, s29, s29 1F16D855 fmsub s21, s2, s22, s22 1F039347 fmsub s7, s26, s3, s4 1F1ECEBF fmsub s31, s21, s30, s19 1F02DE1D fmsub s29, s16, s2, s23 1F1AAEFA fmsub s26, s23, s26, s11 1F129074 fmsub s20, s3, s18, s4 1F15B281 fmsub s1, s20, s21, s12 1F11E83C fmsub s28, s1, s17, s26 1F0092C0 fmsub s0, s22, s0, s4 1F1193C7 fmsub s7, s30, s17, s4 1F1CB405 fmsub s5, s0, s28, s13 1F1FCFDD fmsub s29, s30, s31, s19 // FMULX_asimdelem_RH_H 0x10111100xxxxxx1001x0xxxxxxxxxx 6F2B9832 fmulx v18.8h, v1.8h, v11.h[6] 2F0C917D fmulx v29.4h, v11.4h, v12.h[0] 2F059291 fmulx v17.4h, v20.4h, v5.h[0] 2F2B91A1 fmulx v1.4h, v13.4h, v11.h[2] 6F279240 fmulx v0.8h, v18.8h, v7.h[2] 6F05917E fmulx v30.8h, v11.8h, v5.h[0] 2F239903 fmulx v3.4h, v8.4h, v3.h[6] 6F1491F6 fmulx v22.8h, v15.8h, v4.h[1] 6F3C9265 fmulx v5.8h, v19.8h, v12.h[3] 2F0F98D0 fmulx v16.4h, v6.4h, v15.h[4] 2F1D9AB9 fmulx v25.4h, v21.4h, v13.h[5] 2F079117 fmulx v23.4h, v8.4h, v7.h[0] 6F2599D3 fmulx v19.8h, v14.8h, v5.h[6] 2F249ADF fmulx v31.4h, v22.4h, v4.h[6] 2F1D9B06 fmulx v6.4h, v24.4h, v13.h[5] 2F17903B fmulx v27.4h, v1.4h, v7.h[1] // FMULX_asimdelem_R_SD 0x1011111xxxxxxx1001x0xxxxxxxxxx 6FB79B1E fmulx v30.4s, v24.4s, v23.s[3] 6FCE9AD8 fmulx v24.2d, v22.2d, v14.d[1] 2F839252 fmulx v18.2s, v18.2s, v3.s[0] 6FB299DC fmulx v28.4s, v14.4s, v18.s[3] 6FB7905B fmulx v27.4s, v2.4s, v23.s[1] 6FCB93C5 fmulx v5.2d, v30.2d, v11.d[0] 2FA49BF4 fmulx v20.2s, v31.2s, v4.s[3] 2FAA9181 fmulx v1.2s, v12.2s, v10.s[1] 2FBA91B6 fmulx v22.2s, v13.2s, v26.s[1] 6FC593DB fmulx v27.2d, v30.2d, v5.d[0] 6FC299B2 fmulx v18.2d, v13.2d, v2.d[1] 2FAF9B8E fmulx v14.2s, v28.2s, v15.s[3] 6FDA93F9 fmulx v25.2d, v31.2d, v26.d[0] 2F8290EB fmulx v11.2s, v7.2s, v2.s[0] 6FA393E3 fmulx v3.4s, v31.4s, v3.s[1] 6F9F9900 fmulx v0.4s, v8.4s, v31.s[2] // FMULX_asimdsame_only 0x0011100x1xxxxx11xxxxxxxxxxxxxx 4E78DEFC fmulx v28.2d, v23.2d, v24.2d 4E38DC59 fmulx v25.4s, v2.4s, v24.4s 0E35DED2 fmulx v18.2s, v22.2s, v21.2s 0E3DDD79 fmulx v25.2s, v11.2s, v29.2s 4E63DC9A fmulx v26.2d, v4.2d, v3.2d 4E32DF7D fmulx v29.4s, v27.4s, v18.4s 4E60DFD6 fmulx v22.2d, v30.2d, v0.2d 4E2ADC67 fmulx v7.4s, v3.4s, v10.4s 4E6ADCF3 fmulx v19.2d, v7.2d, v10.2d 4E73DC07 fmulx v7.2d, v0.2d, v19.2d 0E3DDF7C fmulx v28.2s, v27.2s, v29.2s 4E66DDCF fmulx v15.2d, v14.2d, v6.2d 0E21DD4E fmulx v14.2s, v10.2s, v1.2s 0E2ADFB0 fmulx v16.2s, v29.2s, v10.2s 4E2BDD6A fmulx v10.4s, v11.4s, v11.4s 4E3BDDCB fmulx v11.4s, v14.4s, v27.4s // FMULX_asimdsamefp16_only 0x001110010xxxxx000111xxxxxxxxxx 4E491C47 fmulx v7.8h, v2.8h, v9.8h 4E461E83 fmulx v3.8h, v20.8h, v6.8h 0E521EE7 fmulx v7.4h, v23.4h, v18.4h 0E441EBD fmulx v29.4h, v21.4h, v4.4h 0E521EC3 fmulx v3.4h, v22.4h, v18.4h 4E501FEB fmulx v11.8h, v31.8h, v16.8h 0E501C2F fmulx v15.4h, v1.4h, v16.4h 0E451F8D fmulx v13.4h, v28.4h, v5.4h 0E591E86 fmulx v6.4h, v20.4h, v25.4h 0E491D19 fmulx v25.4h, v8.4h, v9.4h 4E431D39 fmulx v25.8h, v9.8h, v3.8h 4E521CEC fmulx v12.8h, v7.8h, v18.8h 4E581E42 fmulx v2.8h, v18.8h, v24.8h 4E521FA3 fmulx v3.8h, v29.8h, v18.8h 4E5C1F96 fmulx v22.8h, v28.8h, v28.8h 0E5C1D8D fmulx v13.4h, v12.4h, v28.4h // FMULX_asisdelem_RH_H 0111111100xxxxxx1001x0xxxxxxxxxx 7F089B5D fmulx h29, h26, v8.h[4] 7F239286 fmulx h6, h20, v3.h[2] 7F0B9014 fmulx h20, h0, v11.h[0] 7F2C9282 fmulx h2, h20, v12.h[2] 7F379A4A fmulx h10, h18, v7.h[7] 7F319176 fmulx h22, h11, v1.h[3] 7F2D9086 fmulx h6, h4, v13.h[2] 7F1E9AEA fmulx h10, h23, v14.h[5] 7F109334 fmulx h20, h25, v0.h[1] 7F1C93EA fmulx h10, h31, v12.h[1] 7F0D9192 fmulx h18, h12, v13.h[0] 7F1B91C9 fmulx h9, h14, v11.h[1] 7F369915 fmulx h21, h8, v6.h[7] 7F019964 fmulx h4, h11, v1.h[4] 7F169B44 fmulx h4, h26, v6.h[5] 7F3A9BC8 fmulx h8, h30, v10.h[7] // FMULX_asisdelem_R_SD 011111111xxxxxxx1001x0xxxxxxxxxx 7F899A04 fmulx s4, s16, v9.s[2] 7F8B9A59 fmulx s25, s18, v11.s[2] 7F849319 fmulx s25, s24, v4.s[0] 7F959B16 fmulx s22, s24, v21.s[2] 7F8A920E fmulx s14, s16, v10.s[0] 7FB89099 fmulx s25, s4, v24.s[1] 7FC7912C fmulx d12, d9, v7.d[0] 7FC193B7 fmulx d23, d29, v1.d[0] 7F9F98DE fmulx s30, s6, v31.s[2] 7FD192CF fmulx d15, d22, v17.d[0] 7F939357 fmulx s23, s26, v19.s[0] 7F979BB3 fmulx s19, s29, v23.s[2] 7FCB9889 fmulx d9, d4, v11.d[1] 7FB6916D fmulx s13, s11, v22.s[1] 7F9B9A8D fmulx s13, s20, v27.s[2] 7F829097 fmulx s23, s4, v2.s[0] // FMULX_asisdsame_only 010111100x1xxxxx110111xxxxxxxxxx 5E2BDC50 fmulx s16, s2, s11 5E6ADE14 fmulx d20, d16, d10 5E7CDC84 fmulx d4, d4, d28 5E6BDF1F fmulx d31, d24, d11 5E6BDD51 fmulx d17, d10, d11 5E7CDEA4 fmulx d4, d21, d28 5E78DFFA fmulx d26, d31, d24 5E3CDE1A fmulx s26, s16, s28 5E20DF96 fmulx s22, s28, s0 5E23DC5C fmulx s28, s2, s3 5E27DFA2 fmulx s2, s29, s7 5E3FDF31 fmulx s17, s25, s31 5E2BDE93 fmulx s19, s20, s11 5E7EDF19 fmulx d25, d24, d30 5E74DE77 fmulx d23, d19, d20 5E72DC8B fmulx d11, d4, d18 // FMULX_asisdsamefp16_only 01011110010xxxxx000111xxxxxxxxxx 5E411EA9 fmulx h9, h21, h1 5E491C16 fmulx h22, h0, h9 5E521DA4 fmulx h4, h13, h18 5E411E93 fmulx h19, h20, h1 5E491F02 fmulx h2, h24, h9 5E5B1C67 fmulx h7, h3, h27 5E5D1F32 fmulx h18, h25, h29 5E541CF5 fmulx h21, h7, h20 5E461F97 fmulx h23, h28, h6 5E491D7D fmulx h29, h11, h9 5E5A1D6A fmulx h10, h11, h26 5E581E19 fmulx h25, h16, h24 5E471C70 fmulx h16, h3, h7 5E421C21 fmulx h1, h1, h2 5E401C6F fmulx h15, h3, h0 5E491D9D fmulx h29, h12, h9 // FMUL_D_floatdp2 00011110011xxxxx000010xxxxxxxxxx 1E750A6F fmul d15, d19, d21 1E700B61 fmul d1, d27, d16 1E700B56 fmul d22, d26, d16 1E6F0B82 fmul d2, d28, d15 1E710800 fmul d0, d0, d17 1E7B09FF fmul d31, d15, d27 1E780A30 fmul d16, d17, d24 1E7408F1 fmul d17, d7, d20 1E79096A fmul d10, d11, d25 1E790875 fmul d21, d3, d25 1E7009C9 fmul d9, d14, d16 1E7D0A74 fmul d20, d19, d29 1E780929 fmul d9, d9, d24 1E610A19 fmul d25, d16, d1 1E6D09FC fmul d28, d15, d13 1E6808CC fmul d12, d6, d8 // FMUL_H_floatdp2 00011110111xxxxx000010xxxxxxxxxx 1EE809C3 fmul h3, h14, h8 1EE008E1 fmul h1, h7, h0 1EF9093E fmul h30, h9, h25 1EE40B1E fmul h30, h24, h4 1EF80A81 fmul h1, h20, h24 1EE50A97 fmul h23, h20, h5 1EFE083F fmul h31, h1, h30 1EE608AD fmul h13, h5, h6 1EFB09FA fmul h26, h15, h27 1EF30A4D fmul h13, h18, h19 1EE308F6 fmul h22, h7, h3 1EF70B81 fmul h1, h28, h23 1EFE0AE1 fmul h1, h23, h30 1EFB099C fmul h28, h12, h27 1EE40995 fmul h21, h12, h4 1EFB0A56 fmul h22, h18, h27 // FMUL_S_floatdp2 00011110001xxxxx000010xxxxxxxxxx 1E270A21 fmul s1, s17, s7 1E390A97 fmul s23, s20, s25 1E270B8D fmul s13, s28, s7 1E350927 fmul s7, s9, s21 1E2009D1 fmul s17, s14, s0 1E300B9A fmul s26, s28, s16 1E2C0BB8 fmul s24, s29, s12 1E2D099D fmul s29, s12, s13 1E360BBC fmul s28, s29, s22 1E2D0956 fmul s22, s10, s13 1E3009C4 fmul s4, s14, s16 1E360876 fmul s22, s3, s22 1E370B62 fmul s2, s27, s23 1E390A2E fmul s14, s17, s25 1E3A0919 fmul s25, s8, s26 1E3509F3 fmul s19, s15, s21 // FMUL_asimdelem_RH_H 0x00111100xxxxxx1001x0xxxxxxxxxx 0F0F92E2 fmul v2.4h, v23.4h, v15.h[0] 0F3B90F3 fmul v19.4h, v7.4h, v11.h[3] 0F19911F fmul v31.4h, v8.4h, v9.h[1] 4F099B6B fmul v11.8h, v27.8h, v9.h[4] 0F3598A5 fmul v5.4h, v5.4h, v5.h[7] 4F3C92C7 fmul v7.8h, v22.8h, v12.h[3] 0F0592D1 fmul v17.4h, v22.4h, v5.h[0] 4F1099D8 fmul v24.8h, v14.8h, v0.h[5] 4F0A9203 fmul v3.8h, v16.8h, v10.h[0] 4F26997E fmul v30.8h, v11.8h, v6.h[6] 4F289B68 fmul v8.8h, v27.8h, v8.h[6] 4F209090 fmul v16.8h, v4.8h, v0.h[2] 4F2E923F fmul v31.8h, v17.8h, v14.h[2] 0F37928D fmul v13.4h, v20.4h, v7.h[3] 0F1F9A11 fmul v17.4h, v16.4h, v15.h[5] 0F089B0A fmul v10.4h, v24.4h, v8.h[4] // FMUL_asimdelem_R_SD 0x0011111xxxxxxx1001x0xxxxxxxxxx 4FC39965 fmul v5.2d, v11.2d, v3.d[1] 4FA39897 fmul v23.4s, v4.4s, v3.s[3] 0FB591F0 fmul v16.2s, v15.2s, v21.s[1] 0FA59258 fmul v24.2s, v18.2s, v5.s[1] 4FC89178 fmul v24.2d, v11.2d, v8.d[0] 0F8C9007 fmul v7.2s, v0.2s, v12.s[0] 4F999929 fmul v9.4s, v9.4s, v25.s[2] 4FAC9B63 fmul v3.4s, v27.4s, v12.s[3] 4FBE9060 fmul v0.4s, v3.4s, v30.s[1] 4FB39010 fmul v16.4s, v0.4s, v19.s[1] 4F989389 fmul v9.4s, v28.4s, v24.s[0] 4FDA99F3 fmul v19.2d, v15.2d, v26.d[1] 4F8F90AC fmul v12.4s, v5.4s, v15.s[0] 0FA793C2 fmul v2.2s, v30.2s, v7.s[1] 4F9C90EC fmul v12.4s, v7.4s, v28.s[0] 4F949ABA fmul v26.4s, v21.4s, v20.s[2] // FMUL_asimdsame_only 0x1011100x1xxxxx110111xxxxxxxxxx 6E61DE87 fmul v7.2d, v20.2d, v1.2d 2E21DEBC fmul v28.2s, v21.2s, v1.2s 2E21DEA6 fmul v6.2s, v21.2s, v1.2s 6E3ADDF6 fmul v22.4s, v15.4s, v26.4s 2E35DD4D fmul v13.2s, v10.2s, v21.2s 6E3FDCD6 fmul v22.4s, v6.4s, v31.4s 6E7ADC72 fmul v18.2d, v3.2d, v26.2d 6E77DE6E fmul v14.2d, v19.2d, v23.2d 6E28DE72 fmul v18.4s, v19.4s, v8.4s 6E75DE8F fmul v15.2d, v20.2d, v21.2d 6E6ADC30 fmul v16.2d, v1.2d, v10.2d 2E32DD13 fmul v19.2s, v8.2s, v18.2s 2E28DCBA fmul v26.2s, v5.2s, v8.2s 6E7ADFF3 fmul v19.2d, v31.2d, v26.2d 2E36DECF fmul v15.2s, v22.2s, v22.2s 2E3FDFD6 fmul v22.2s, v30.2s, v31.2s // FMUL_asimdsamefp16_only 0x101110010xxxxx000111xxxxxxxxxx 2E5A1F2E fmul v14.4h, v25.4h, v26.4h 2E5D1EB8 fmul v24.4h, v21.4h, v29.4h 6E5A1E36 fmul v22.8h, v17.8h, v26.8h 6E511C49 fmul v9.8h, v2.8h, v17.8h 6E441FE9 fmul v9.8h, v31.8h, v4.8h 2E421E75 fmul v21.4h, v19.4h, v2.4h 6E541C98 fmul v24.8h, v4.8h, v20.8h 2E451D9D fmul v29.4h, v12.4h, v5.4h 6E5D1EDA fmul v26.8h, v22.8h, v29.8h 2E411EAA fmul v10.4h, v21.4h, v1.4h 2E5E1CCF fmul v15.4h, v6.4h, v30.4h 6E561EFE fmul v30.8h, v23.8h, v22.8h 6E4F1DCC fmul v12.8h, v14.8h, v15.8h 2E5C1F72 fmul v18.4h, v27.4h, v28.4h 2E5F1D0F fmul v15.4h, v8.4h, v31.4h 2E481DD8 fmul v24.4h, v14.4h, v8.4h // FMUL_asisdelem_RH_H 0101111100xxxxxx1001x0xxxxxxxxxx 5F3490B3 fmul h19, h5, v4.h[3] 5F3298A0 fmul h0, h5, v2.h[7] 5F1B99D2 fmul h18, h14, v11.h[5] 5F2298EA fmul h10, h7, v2.h[6] 5F319B38 fmul h24, h25, v1.h[7] 5F2299C2 fmul h2, h14, v2.h[6] 5F069B91 fmul h17, h28, v6.h[4] 5F39986F fmul h15, h3, v9.h[7] 5F0198E2 fmul h2, h7, v1.h[4] 5F28924A fmul h10, h18, v8.h[2] 5F0C9814 fmul h20, h0, v12.h[4] 5F1C902C fmul h12, h1, v12.h[1] 5F0B9BB7 fmul h23, h29, v11.h[4] 5F0798E8 fmul h8, h7, v7.h[4] 5F309249 fmul h9, h18, v0.h[3] 5F2292AC fmul h12, h21, v2.h[2] // FMUL_asisdelem_R_SD 010111111xxxxxxx1001x0xxxxxxxxxx 5FA59935 fmul s21, s9, v5.s[3] 5FB0901D fmul s29, s0, v16.s[1] 5FBE9119 fmul s25, s8, v30.s[1] 5FC49362 fmul d2, d27, v4.d[0] 5FDB987A fmul d26, d3, v27.d[1] 5FAD9BDA fmul s26, s30, v13.s[3] 5FA89B04 fmul s4, s24, v8.s[3] 5F89917C fmul s28, s11, v9.s[0] 5F94935B fmul s27, s26, v20.s[0] 5FB199BC fmul s28, s13, v17.s[3] 5FC6998E fmul d14, d12, v6.d[1] 5FC498DF fmul d31, d6, v4.d[1] 5FB9927F fmul s31, s19, v25.s[1] 5F8499A7 fmul s7, s13, v4.s[2] 5FB19B50 fmul s16, s26, v17.s[3] 5F8D93EA fmul s10, s31, v13.s[0] // FNEG_D_floatdp1 0001111001100001010000xxxxxxxxxx 1E6140F9 fneg d25, d7 1E61423C fneg d28, d17 1E614205 fneg d5, d16 1E614017 fneg d23, d0 1E614183 fneg d3, d12 1E614141 fneg d1, d10 1E6140C9 fneg d9, d6 1E61432B fneg d11, d25 1E614354 fneg d20, d26 1E6141F7 fneg d23, d15 1E614006 fneg d6, d0 1E614088 fneg d8, d4 1E614218 fneg d24, d16 1E61430D fneg d13, d24 1E61406D fneg d13, d3 1E6142DD fneg d29, d22 // FNEG_H_floatdp1 0001111011100001010000xxxxxxxxxx 1EE142D0 fneg h16, h22 1EE14174 fneg h20, h11 1EE14037 fneg h23, h1 1EE14035 fneg h21, h1 1EE1421D fneg h29, h16 1EE14335 fneg h21, h25 1EE1408F fneg h15, h4 1EE14097 fneg h23, h4 1EE1432C fneg h12, h25 1EE1438F fneg h15, h28 1EE142A7 fneg h7, h21 1EE14153 fneg h19, h10 1EE1403D fneg h29, h1 1EE142D1 fneg h17, h22 1EE14209 fneg h9, h16 1EE140AE fneg h14, h5 // FNEG_S_floatdp1 0001111000100001010000xxxxxxxxxx 1E2142D5 fneg s21, s22 1E2143CA fneg s10, s30 1E2143A6 fneg s6, s29 1E2143A0 fneg s0, s29 1E2142DD fneg s29, s22 1E2143CB fneg s11, s30 1E2143A4 fneg s4, s29 1E214132 fneg s18, s9 1E21421B fneg s27, s16 1E214310 fneg s16, s24 1E214013 fneg s19, s0 1E21437A fneg s26, s27 1E214212 fneg s18, s16 1E2142D9 fneg s25, s22 1E21421C fneg s28, s16 1E21406D fneg s13, s3 // FNEG_asimdmisc_R 0x1011101x100000111110xxxxxxxxxx 2EA0F9B4 fneg v20.2s, v13.2s 6EA0F893 fneg v19.4s, v4.4s 6EA0FB2A fneg v10.4s, v25.4s 2EA0F9CB fneg v11.2s, v14.2s 6EA0F9C3 fneg v3.4s, v14.4s 6EE0FAF5 fneg v21.2d, v23.2d 2EA0F867 fneg v7.2s, v3.2s 6EA0F8D4 fneg v20.4s, v6.4s 6EE0F8B3 fneg v19.2d, v5.2d 6EE0F9EA fneg v10.2d, v15.2d 6EE0FA07 fneg v7.2d, v16.2d 6EE0F813 fneg v19.2d, v0.2d 6EE0F803 fneg v3.2d, v0.2d 2EA0FA10 fneg v16.2s, v16.2s 6EE0F8E0 fneg v0.2d, v7.2d 6EA0FA2F fneg v15.4s, v17.4s // FNEG_asimdmiscfp16_R 0x10111011111000111110xxxxxxxxxx 2EF8FB0C fneg v12.4h, v24.4h 6EF8FBA2 fneg v2.8h, v29.8h 6EF8FA77 fneg v23.8h, v19.8h 2EF8FBDB fneg v27.4h, v30.4h 6EF8F960 fneg v0.8h, v11.8h 6EF8FA2B fneg v11.8h, v17.8h 2EF8FB9C fneg v28.4h, v28.4h 6EF8F9D9 fneg v25.8h, v14.8h 6EF8F9E2 fneg v2.8h, v15.8h 6EF8F80A fneg v10.8h, v0.8h 6EF8FA23 fneg v3.8h, v17.8h 2EF8F80D fneg v13.4h, v0.4h 6EF8FAAE fneg v14.8h, v21.8h 6EF8FB22 fneg v2.8h, v25.8h 2EF8FAF4 fneg v20.4h, v23.4h 2EF8FB7E fneg v30.4h, v27.4h // FNMADD_D_floatdp3 00011111011xxxxx0xxxxxxxxxxxxxxx 1F79746A fnmadd d10, d3, d25, d29 1F7A7CE0 fnmadd d0, d7, d26, d31 1F7E7ED5 fnmadd d21, d22, d30, d31 1F7C59BB fnmadd d27, d13, d28, d22 1F756BF5 fnmadd d21, d31, d21, d26 1F623657 fnmadd d23, d18, d2, d13 1F670AB9 fnmadd d25, d21, d7, d2 1F7D1113 fnmadd d19, d8, d29, d4 1F7F6C2E fnmadd d14, d1, d31, d27 1F73562F fnmadd d15, d17, d19, d21 1F7B1639 fnmadd d25, d17, d27, d5 1F6A5429 fnmadd d9, d1, d10, d21 1F71389F fnmadd d31, d4, d17, d14 1F7C0F0C fnmadd d12, d24, d28, d3 1F6F1665 fnmadd d5, d19, d15, d5 1F7869A9 fnmadd d9, d13, d24, d26 // FNMADD_H_floatdp3 00011111111xxxxx0xxxxxxxxxxxxxxx 1FFF30AB fnmadd h11, h5, h31, h12 1FEC0C56 fnmadd h22, h2, h12, h3 1FFC21B8 fnmadd h24, h13, h28, h8 1FF14DF0 fnmadd h16, h15, h17, h19 1FF30A79 fnmadd h25, h19, h19, h2 1FFE0913 fnmadd h19, h8, h30, h2 1FF049D9 fnmadd h25, h14, h16, h18 1FE37933 fnmadd h19, h9, h3, h30 1FF9234B fnmadd h11, h26, h25, h8 1FF00299 fnmadd h25, h20, h16, h0 1FFE08B0 fnmadd h16, h5, h30, h2 1FE63418 fnmadd h24, h0, h6, h13 1FF95D35 fnmadd h21, h9, h25, h23 1FF27829 fnmadd h9, h1, h18, h30 1FE63357 fnmadd h23, h26, h6, h12 1FF36F58 fnmadd h24, h26, h19, h27 // FNMADD_S_floatdp3 00011111001xxxxx0xxxxxxxxxxxxxxx 1F3C347C fnmadd s28, s3, s28, s13 1F2C2D30 fnmadd s16, s9, s12, s11 1F3F770A fnmadd s10, s24, s31, s29 1F284809 fnmadd s9, s0, s8, s18 1F2D2F34 fnmadd s20, s25, s13, s11 1F2C48DA fnmadd s26, s6, s12, s18 1F3040C2 fnmadd s2, s6, s16, s16 1F3E1094 fnmadd s20, s4, s30, s4 1F2C40F9 fnmadd s25, s7, s12, s16 1F2C4FF9 fnmadd s25, s31, s12, s19 1F3C19AB fnmadd s11, s13, s28, s6 1F3613DA fnmadd s26, s30, s22, s4 1F2D3657 fnmadd s23, s18, s13, s13 1F307FD7 fnmadd s23, s30, s16, s31 1F2F776C fnmadd s12, s27, s15, s29 1F3C4711 fnmadd s17, s24, s28, s17 // FNMSUB_D_floatdp3 00011111011xxxxx1xxxxxxxxxxxxxxx 1F75A799 fnmsub d25, d28, d21, d9 1F71CF3D fnmsub d29, d25, d17, d19 1F6397CC fnmsub d12, d30, d3, d5 1F6CE64E fnmsub d14, d18, d12, d25 1F6DE761 fnmsub d1, d27, d13, d25 1F6B8D2B fnmsub d11, d9, d11, d3 1F7CF98B fnmsub d11, d12, d28, d30 1F67CDEB fnmsub d11, d15, d7, d19 1F60C633 fnmsub d19, d17, d0, d17 1F68B34E fnmsub d14, d26, d8, d12 1F68B0B3 fnmsub d19, d5, d8, d12 1F71D893 fnmsub d19, d4, d17, d22 1F738DE7 fnmsub d7, d15, d19, d3 1F61A050 fnmsub d16, d2, d1, d8 1F66C2A5 fnmsub d5, d21, d6, d16 1F6897E3 fnmsub d3, d31, d8, d5 // FNMSUB_H_floatdp3 00011111111xxxxx1xxxxxxxxxxxxxxx 1FE0F11D fnmsub h29, h8, h0, h28 1FF1D5DC fnmsub h28, h14, h17, h21 1FE3F198 fnmsub h24, h12, h3, h28 1FE1D269 fnmsub h9, h19, h1, h20 1FE8A156 fnmsub h22, h10, h8, h8 1FF3ACF5 fnmsub h21, h7, h19, h11 1FF0E60C fnmsub h12, h16, h16, h25 1FF79194 fnmsub h20, h12, h23, h4 1FE8F09C fnmsub h28, h4, h8, h28 1FE7D0DD fnmsub h29, h6, h7, h20 1FE9D9AF fnmsub h15, h13, h9, h22 1FF5C4CA fnmsub h10, h6, h21, h17 1FF0B51B fnmsub h27, h8, h16, h13 1FF5C758 fnmsub h24, h26, h21, h17 1FFBA096 fnmsub h22, h4, h27, h8 1FF9BB9C fnmsub h28, h28, h25, h14 // FNMSUB_S_floatdp3 00011111001xxxxx1xxxxxxxxxxxxxxx 1F2D904C fnmsub s12, s2, s13, s4 1F369B8A fnmsub s10, s28, s22, s6 1F249A12 fnmsub s18, s16, s4, s6 1F39EDF9 fnmsub s25, s15, s25, s27 1F319168 fnmsub s8, s11, s17, s4 1F268389 fnmsub s9, s28, s6, s0 1F39C7FB fnmsub s27, s31, s25, s17 1F37B40C fnmsub s12, s0, s23, s13 1F25C6F2 fnmsub s18, s23, s5, s17 1F23CE9A fnmsub s26, s20, s3, s19 1F2F8DA4 fnmsub s4, s13, s15, s3 1F3FFBB3 fnmsub s19, s29, s31, s30 1F24B119 fnmsub s25, s8, s4, s12 1F34B4F7 fnmsub s23, s7, s20, s13 1F29CD9B fnmsub s27, s12, s9, s19 1F3FFCCD fnmsub s13, s6, s31, s31 // FNMUL_D_floatdp2 00011110011xxxxx100010xxxxxxxxxx 1E6B8ABB fnmul d27, d21, d11 1E7889C4 fnmul d4, d14, d24 1E748A64 fnmul d4, d19, d20 1E668AF8 fnmul d24, d23, d6 1E7588CF fnmul d15, d6, d21 1E6D8BB8 fnmul d24, d29, d13 1E6C8A98 fnmul d24, d20, d12 1E678A6E fnmul d14, d19, d7 1E6B8B5F fnmul d31, d26, d11 1E66889B fnmul d27, d4, d6 1E608B19 fnmul d25, d24, d0 1E698B42 fnmul d2, d26, d9 1E6F88DB fnmul d27, d6, d15 1E7D89B0 fnmul d16, d13, d29 1E728A48 fnmul d8, d18, d18 1E748963 fnmul d3, d11, d20 // FNMUL_H_floatdp2 00011110111xxxxx100010xxxxxxxxxx 1EE2899F fnmul h31, h12, h2 1EF18B7A fnmul h26, h27, h17 1EE1896F fnmul h15, h11, h1 1EE288B1 fnmul h17, h5, h2 1EF289FF fnmul h31, h15, h18 1EEA8BC4 fnmul h4, h30, h10 1EF58939 fnmul h25, h9, h21 1EF98842 fnmul h2, h2, h25 1EEC8AAC fnmul h12, h21, h12 1EF88AE8 fnmul h8, h23, h24 1EEC8959 fnmul h25, h10, h12 1EE28A79 fnmul h25, h19, h2 1EE88996 fnmul h22, h12, h8 1EF48A21 fnmul h1, h17, h20 1EFD8B78 fnmul h24, h27, h29 1EEB89A1 fnmul h1, h13, h11 // FNMUL_S_floatdp2 00011110001xxxxx100010xxxxxxxxxx 1E308BB8 fnmul s24, s29, s16 1E3C8AD3 fnmul s19, s22, s28 1E3E8804 fnmul s4, s0, s30 1E3A8BAA fnmul s10, s29, s26 1E3B8B32 fnmul s18, s25, s27 1E318ADC fnmul s28, s22, s17 1E2A8A73 fnmul s19, s19, s10 1E248ABB fnmul s27, s21, s4 1E318A1E fnmul s30, s16, s17 1E298A0E fnmul s14, s16, s9 1E21883A fnmul s26, s1, s1 1E3B8B0F fnmul s15, s24, s27 1E2E8B2D fnmul s13, s25, s14 1E338847 fnmul s7, s2, s19 1E298982 fnmul s2, s12, s9 1E2D8B08 fnmul s8, s24, s13 // FRECPE_asimdmisc_R 0x0011101x100001110110xxxxxxxxxx 4EE1D95A frecpe v26.2d, v10.2d 0EA1D921 frecpe v1.2s, v9.2s 0EA1D897 frecpe v23.2s, v4.2s 4EE1DA28 frecpe v8.2d, v17.2d 4EE1DB5E frecpe v30.2d, v26.2d 0EA1D9C3 frecpe v3.2s, v14.2s 4EA1DB6B frecpe v11.4s, v27.4s 4EA1DA68 frecpe v8.4s, v19.4s 4EE1D9E8 frecpe v8.2d, v15.2d 4EE1D982 frecpe v2.2d, v12.2d 4EA1D883 frecpe v3.4s, v4.4s 4EA1D809 frecpe v9.4s, v0.4s 4EA1DA53 frecpe v19.4s, v18.4s 0EA1D830 frecpe v16.2s, v1.2s 4EA1DB07 frecpe v7.4s, v24.4s 0EA1DBC5 frecpe v5.2s, v30.2s // FRECPE_asimdmiscfp16_R 0x00111011111001110110xxxxxxxxxx 0EF9DB2A frecpe v10.4h, v25.4h 4EF9DB96 frecpe v22.8h, v28.8h 0EF9DA06 frecpe v6.4h, v16.4h 4EF9DAD9 frecpe v25.8h, v22.8h 0EF9D9A8 frecpe v8.4h, v13.4h 4EF9D829 frecpe v9.8h, v1.8h 0EF9D82C frecpe v12.4h, v1.4h 4EF9D926 frecpe v6.8h, v9.8h 0EF9DB7D frecpe v29.4h, v27.4h 0EF9DA97 frecpe v23.4h, v20.4h 4EF9DA72 frecpe v18.8h, v19.8h 0EF9D8B7 frecpe v23.4h, v5.4h 4EF9D8AE frecpe v14.8h, v5.8h 0EF9DB88 frecpe v8.4h, v28.4h 4EF9DBE9 frecpe v9.8h, v31.8h 4EF9D830 frecpe v16.8h, v1.8h // FRECPE_asisdmisc_R 010111101x100001110110xxxxxxxxxx 5EA1DB16 frecpe s22, s24 5EE1DB00 frecpe d0, d24 5EE1D820 frecpe d0, d1 5EA1D912 frecpe s18, s8 5EE1DA8D frecpe d13, d20 5EA1D9A2 frecpe s2, s13 5EA1D8AB frecpe s11, s5 5EA1DA43 frecpe s3, s18 5EA1D8E7 frecpe s7, s7 5EE1D81D frecpe d29, d0 5EA1D995 frecpe s21, s12 5EE1D9F1 frecpe d17, d15 5EA1D938 frecpe s24, s9 5EA1D91C frecpe s28, s8 5EA1DA9B frecpe s27, s20 5EE1D841 frecpe d1, d2 // FRECPE_asisdmiscfp16_R 0101111011111001110110xxxxxxxxxx 5EF9D8E1 frecpe h1, h7 5EF9DAEE frecpe h14, h23 5EF9DB3B frecpe h27, h25 5EF9D8B1 frecpe h17, h5 5EF9DB73 frecpe h19, h27 5EF9D960 frecpe h0, h11 5EF9D974 frecpe h20, h11 5EF9D9F2 frecpe h18, h15 5EF9D873 frecpe h19, h3 5EF9D9C6 frecpe h6, h14 5EF9D952 frecpe h18, h10 5EF9D8FC frecpe h28, h7 5EF9D932 frecpe h18, h9 5EF9DBB1 frecpe h17, h29 5EF9DBE0 frecpe h0, h31 5EF9D837 frecpe h23, h1 // FRECPS_asimdsame_only 0x0011100x1xxxxx111111xxxxxxxxxx 0E2BFC7D frecps v29.2s, v3.2s, v11.2s 0E2AFD0A frecps v10.2s, v8.2s, v10.2s 4E7BFEFC frecps v28.2d, v23.2d, v27.2d 4E6EFE4F frecps v15.2d, v18.2d, v14.2d 4E27FCA9 frecps v9.4s, v5.4s, v7.4s 4E6EFE98 frecps v24.2d, v20.2d, v14.2d 4E26FEBC frecps v28.4s, v21.4s, v6.4s 0E3EFE80 frecps v0.2s, v20.2s, v30.2s 0E27FC5A frecps v26.2s, v2.2s, v7.2s 4E76FD77 frecps v23.2d, v11.2d, v22.2d 4E77FC77 frecps v23.2d, v3.2d, v23.2d 4E27FFF2 frecps v18.4s, v31.4s, v7.4s 0E2AFFBD frecps v29.2s, v29.2s, v10.2s 4E39FC26 frecps v6.4s, v1.4s, v25.4s 4E70FCB9 frecps v25.2d, v5.2d, v16.2d 4E7BFDF2 frecps v18.2d, v15.2d, v27.2d // FRECPS_asimdsamefp16_only 0x001110010xxxxx001111xxxxxxxxxx 0E4D3C08 frecps v8.4h, v0.4h, v13.4h 4E543FC1 frecps v1.8h, v30.8h, v20.8h 0E5C3EF5 frecps v21.4h, v23.4h, v28.4h 4E523F5C frecps v28.8h, v26.8h, v18.8h 4E5E3C30 frecps v16.8h, v1.8h, v30.8h 4E413CF6 frecps v22.8h, v7.8h, v1.8h 0E433ED7 frecps v23.4h, v22.4h, v3.4h 4E463F82 frecps v2.8h, v28.8h, v6.8h 4E533F46 frecps v6.8h, v26.8h, v19.8h 4E593E79 frecps v25.8h, v19.8h, v25.8h 0E5D3F09 frecps v9.4h, v24.4h, v29.4h 4E4A3EF9 frecps v25.8h, v23.8h, v10.8h 0E523CD5 frecps v21.4h, v6.4h, v18.4h 4E573FBB frecps v27.8h, v29.8h, v23.8h 0E423FD2 frecps v18.4h, v30.4h, v2.4h 0E463DD5 frecps v21.4h, v14.4h, v6.4h // FRECPS_asisdsame_only 010111100x1xxxxx111111xxxxxxxxxx 5E39FE0A frecps s10, s16, s25 5E68FD73 frecps d19, d11, d8 5E21FE2E frecps s14, s17, s1 5E68FFEA frecps d10, d31, d8 5E2BFFF8 frecps s24, s31, s11 5E76FD38 frecps d24, d9, d22 5E3BFCB0 frecps s16, s5, s27 5E32FEF3 frecps s19, s23, s18 5E6BFEAD frecps d13, d21, d11 5E79FD1F frecps d31, d8, d25 5E7EFFA6 frecps d6, d29, d30 5E3FFCB2 frecps s18, s5, s31 5E3AFF49 frecps s9, s26, s26 5E3EFECD frecps s13, s22, s30 5E35FF4D frecps s13, s26, s21 5E3EFE5B frecps s27, s18, s30 // FRECPS_asisdsamefp16_only 01011110010xxxxx001111xxxxxxxxxx 5E443E0E frecps h14, h16, h4 5E543DF6 frecps h22, h15, h20 5E563E33 frecps h19, h17, h22 5E423C6E frecps h14, h3, h2 5E493EA8 frecps h8, h21, h9 5E593DDF frecps h31, h14, h25 5E463FF4 frecps h20, h31, h6 5E4B3CFD frecps h29, h7, h11 5E473E35 frecps h21, h17, h7 5E493D74 frecps h20, h11, h9 5E573D3F frecps h31, h9, h23 5E573FD7 frecps h23, h30, h23 5E523E1D frecps h29, h16, h18 5E453D17 frecps h23, h8, h5 5E5C3C71 frecps h17, h3, h28 5E5D3CC4 frecps h4, h6, h29 // FRECPX_asisdmisc_R 010111101x100001111110xxxxxxxxxx 5EA1FAE1 frecpx s1, s23 5EA1FAA1 frecpx s1, s21 5EE1F8D4 frecpx d20, d6 5EE1F988 frecpx d8, d12 5EA1FA79 frecpx s25, s19 5EE1F833 frecpx d19, d1 5EE1FA61 frecpx d1, d19 5EA1FBC8 frecpx s8, s30 5EE1FB3A frecpx d26, d25 5EE1F962 frecpx d2, d11 5EE1FAB7 frecpx d23, d21 5EA1FB04 frecpx s4, s24 5EA1FAE6 frecpx s6, s23 5EE1F830 frecpx d16, d1 5EA1FB47 frecpx s7, s26 5EA1F854 frecpx s20, s2 // FRECPX_asisdmiscfp16_R 0101111011111001111110xxxxxxxxxx 5EF9F8D6 frecpx h22, h6 5EF9FAB3 frecpx h19, h21 5EF9FB2D frecpx h13, h25 5EF9F83F frecpx h31, h1 5EF9FB65 frecpx h5, h27 5EF9FBCC frecpx h12, h30 5EF9F8C7 frecpx h7, h6 5EF9F908 frecpx h8, h8 5EF9FAE0 frecpx h0, h23 5EF9F856 frecpx h22, h2 5EF9FAE6 frecpx h6, h23 5EF9FB25 frecpx h5, h25 5EF9FB1B frecpx h27, h24 5EF9F9C8 frecpx h8, h14 5EF9FBF8 frecpx h24, h31 5EF9F84C frecpx h12, h2 // FRINT32X_D_floatdp1 0001111001101000110000xxxxxxxxxx 1E68C178 frint32x d24, d11 1E68C041 frint32x d1, d2 1E68C343 frint32x d3, d26 1E68C3C3 frint32x d3, d30 1E68C070 frint32x d16, d3 1E68C3D7 frint32x d23, d30 1E68C2B3 frint32x d19, d21 1E68C000 frint32x d0, d0 1E68C0B6 frint32x d22, d5 1E68C2D9 frint32x d25, d22 1E68C137 frint32x d23, d9 1E68C27C frint32x d28, d19 1E68C00C frint32x d12, d0 1E68C3E7 frint32x d7, d31 1E68C07D frint32x d29, d3 1E68C1C8 frint32x d8, d14 // FRINT32X_S_floatdp1 0001111000101000110000xxxxxxxxxx 1E28C02D frint32x s13, s1 1E28C159 frint32x s25, s10 1E28C2D2 frint32x s18, s22 1E28C24F frint32x s15, s18 1E28C131 frint32x s17, s9 1E28C18E frint32x s14, s12 1E28C124 frint32x s4, s9 1E28C19B frint32x s27, s12 1E28C2EA frint32x s10, s23 1E28C1E7 frint32x s7, s15 1E28C01C frint32x s28, s0 1E28C11B frint32x s27, s8 1E28C3B5 frint32x s21, s29 1E28C3C4 frint32x s4, s30 1E28C099 frint32x s25, s4 1E28C2ED frint32x s13, s23 // FRINT32X_asimdmisc_R 0x1011100x100001111010xxxxxxxxxx 2E21EBDF frint32x v31.2s, v30.2s 6E21EA35 frint32x v21.4s, v17.4s 2E21E988 frint32x v8.2s, v12.2s 2E21E85B frint32x v27.2s, v2.2s 6E21E947 frint32x v7.4s, v10.4s 6E61E8FC frint32x v28.2d, v7.2d 2E21EAF6 frint32x v22.2s, v23.2s 6E21E8CC frint32x v12.4s, v6.4s 6E61EB39 frint32x v25.2d, v25.2d 6E61EB7A frint32x v26.2d, v27.2d 6E61EAE7 frint32x v7.2d, v23.2d 2E21EA85 frint32x v5.2s, v20.2s 6E21E92A frint32x v10.4s, v9.4s 6E21E8F3 frint32x v19.4s, v7.4s 2E21EB3D frint32x v29.2s, v25.2s 2E21E9A0 frint32x v0.2s, v13.2s // FRINT32Z_D_floatdp1 0001111001101000010000xxxxxxxxxx 1E68437B frint32z d27, d27 1E6841C5 frint32z d5, d14 1E6843F5 frint32z d21, d31 1E68407A frint32z d26, d3 1E68407B frint32z d27, d3 1E68408D frint32z d13, d4 1E6841E4 frint32z d4, d15 1E684334 frint32z d20, d25 1E68410A frint32z d10, d8 1E684077 frint32z d23, d3 1E6840F1 frint32z d17, d7 1E684060 frint32z d0, d3 1E6842C4 frint32z d4, d22 1E6841D2 frint32z d18, d14 1E684217 frint32z d23, d16 1E6842BA frint32z d26, d21 // FRINT32Z_S_floatdp1 0001111000101000010000xxxxxxxxxx 1E284328 frint32z s8, s25 1E2842F5 frint32z s21, s23 1E2841B7 frint32z s23, s13 1E284230 frint32z s16, s17 1E284272 frint32z s18, s19 1E284302 frint32z s2, s24 1E284277 frint32z s23, s19 1E284231 frint32z s17, s17 1E28420B frint32z s11, s16 1E2843BA frint32z s26, s29 1E28431F frint32z s31, s24 1E284354 frint32z s20, s26 1E28437A frint32z s26, s27 1E284323 frint32z s3, s25 1E284349 frint32z s9, s26 1E284301 frint32z s1, s24 // FRINT32Z_asimdmisc_R 0x0011100x100001111010xxxxxxxxxx 4E21E9A8 frint32z v8.4s, v13.4s 0E21E8D5 frint32z v21.2s, v6.2s 0E21E983 frint32z v3.2s, v12.2s 4E21E823 frint32z v3.4s, v1.4s 0E21EB59 frint32z v25.2s, v26.2s 4E61EA1A frint32z v26.2d, v16.2d 4E21EB3A frint32z v26.4s, v25.4s 4E21E916 frint32z v22.4s, v8.4s 0E21E867 frint32z v7.2s, v3.2s 4E61E9FD frint32z v29.2d, v15.2d 0E21E89A frint32z v26.2s, v4.2s 4E61E8D7 frint32z v23.2d, v6.2d 4E21EB2E frint32z v14.4s, v25.4s 0E21EBAF frint32z v15.2s, v29.2s 4E21EA4F frint32z v15.4s, v18.4s 4E61EB91 frint32z v17.2d, v28.2d // FRINT64X_D_floatdp1 0001111001101001110000xxxxxxxxxx 1E69C257 frint64x d23, d18 1E69C05B frint64x d27, d2 1E69C241 frint64x d1, d18 1E69C27E frint64x d30, d19 1E69C319 frint64x d25, d24 1E69C13F frint64x d31, d9 1E69C306 frint64x d6, d24 1E69C0B2 frint64x d18, d5 1E69C34F frint64x d15, d26 1E69C048 frint64x d8, d2 1E69C29E frint64x d30, d20 1E69C285 frint64x d5, d20 1E69C253 frint64x d19, d18 1E69C1D3 frint64x d19, d14 1E69C2A0 frint64x d0, d21 1E69C168 frint64x d8, d11 // FRINT64X_S_floatdp1 0001111000101001110000xxxxxxxxxx 1E29C2C2 frint64x s2, s22 1E29C161 frint64x s1, s11 1E29C368 frint64x s8, s27 1E29C301 frint64x s1, s24 1E29C2F7 frint64x s23, s23 1E29C160 frint64x s0, s11 1E29C2B0 frint64x s16, s21 1E29C39D frint64x s29, s28 1E29C26F frint64x s15, s19 1E29C01E frint64x s30, s0 1E29C0CB frint64x s11, s6 1E29C351 frint64x s17, s26 1E29C24E frint64x s14, s18 1E29C03D frint64x s29, s1 1E29C229 frint64x s9, s17 1E29C1FD frint64x s29, s15 // FRINT64X_asimdmisc_R 0x1011100x100001111110xxxxxxxxxx 6E21F97B frint64x v27.4s, v11.4s 6E21F8BB frint64x v27.4s, v5.4s 6E21FA00 frint64x v0.4s, v16.4s 6E61FAB5 frint64x v21.2d, v21.2d 2E21FADC frint64x v28.2s, v22.2s 2E21FBE5 frint64x v5.2s, v31.2s 6E21F97E frint64x v30.4s, v11.4s 6E21F9A3 frint64x v3.4s, v13.4s 2E21F810 frint64x v16.2s, v0.2s 6E61F900 frint64x v0.2d, v8.2d 6E61FAB0 frint64x v16.2d, v21.2d 2E21F9CD frint64x v13.2s, v14.2s 6E61F928 frint64x v8.2d, v9.2d 2E21FB3D frint64x v29.2s, v25.2s 6E21F8E8 frint64x v8.4s, v7.4s 2E21FAE9 frint64x v9.2s, v23.2s // FRINT64Z_D_floatdp1 0001111001101001010000xxxxxxxxxx 1E694370 frint64z d16, d27 1E69416A frint64z d10, d11 1E694167 frint64z d7, d11 1E69418C frint64z d12, d12 1E694018 frint64z d24, d0 1E6942C9 frint64z d9, d22 1E694372 frint64z d18, d27 1E69436B frint64z d11, d27 1E694306 frint64z d6, d24 1E69439B frint64z d27, d28 1E69431D frint64z d29, d24 1E69430B frint64z d11, d24 1E694398 frint64z d24, d28 1E694005 frint64z d5, d0 1E694112 frint64z d18, d8 1E69436F frint64z d15, d27 // FRINT64Z_S_floatdp1 0001111000101001010000xxxxxxxxxx 1E2943DA frint64z s26, s30 1E2940C5 frint64z s5, s6 1E2940DD frint64z s29, s6 1E2941AD frint64z s13, s13 1E2943A9 frint64z s9, s29 1E29420F frint64z s15, s16 1E294239 frint64z s25, s17 1E2941D2 frint64z s18, s14 1E29409F frint64z s31, s4 1E2942D5 frint64z s21, s22 1E294091 frint64z s17, s4 1E294207 frint64z s7, s16 1E2942C1 frint64z s1, s22 1E294390 frint64z s16, s28 1E2940A8 frint64z s8, s5 1E294171 frint64z s17, s11 // FRINT64Z_asimdmisc_R 0x0011100x100001111110xxxxxxxxxx 4E61F85E frint64z v30.2d, v2.2d 4E61FA15 frint64z v21.2d, v16.2d 4E61FB3B frint64z v27.2d, v25.2d 4E21F824 frint64z v4.4s, v1.4s 4E61FA58 frint64z v24.2d, v18.2d 4E21F837 frint64z v23.4s, v1.4s 4E21FAF2 frint64z v18.4s, v23.4s 0E21FB90 frint64z v16.2s, v28.2s 4E61FA53 frint64z v19.2d, v18.2d 4E61F96C frint64z v12.2d, v11.2d 0E21FA07 frint64z v7.2s, v16.2s 0E21F880 frint64z v0.2s, v4.2s 4E21FB1C frint64z v28.4s, v24.4s 4E21FA81 frint64z v1.4s, v20.4s 4E21F902 frint64z v2.4s, v8.4s 0E21F9A3 frint64z v3.2s, v13.2s // FRINTA_D_floatdp1 0001111001100110010000xxxxxxxxxx 1E664363 frinta d3, d27 1E6641B6 frinta d22, d13 1E66431B frinta d27, d24 1E6643C7 frinta d7, d30 1E6640F5 frinta d21, d7 1E66425E frinta d30, d18 1E664378 frinta d24, d27 1E664279 frinta d25, d19 1E6642BD frinta d29, d21 1E66414B frinta d11, d10 1E66416A frinta d10, d11 1E6641EE frinta d14, d15 1E664011 frinta d17, d0 1E664128 frinta d8, d9 1E664211 frinta d17, d16 1E6642F2 frinta d18, d23 // FRINTA_H_floatdp1 0001111011100110010000xxxxxxxxxx 1EE6436C frinta h12, h27 1EE641A4 frinta h4, h13 1EE64150 frinta h16, h10 1EE640D8 frinta h24, h6 1EE64075 frinta h21, h3 1EE64313 frinta h19, h24 1EE641FA frinta h26, h15 1EE64329 frinta h9, h25 1EE64022 frinta h2, h1 1EE6416D frinta h13, h11 1EE6430C frinta h12, h24 1EE64050 frinta h16, h2 1EE64002 frinta h2, h0 1EE640E8 frinta h8, h7 1EE641F7 frinta h23, h15 1EE6412F frinta h15, h9 // FRINTA_S_floatdp1 0001111000100110010000xxxxxxxxxx 1E26401C frinta s28, s0 1E264057 frinta s23, s2 1E2643B8 frinta s24, s29 1E26425B frinta s27, s18 1E26415B frinta s27, s10 1E26427B frinta s27, s19 1E26429E frinta s30, s20 1E26417F frinta s31, s11 1E2643A7 frinta s7, s29 1E264073 frinta s19, s3 1E264178 frinta s24, s11 1E2640F9 frinta s25, s7 1E2642E6 frinta s6, s23 1E2640EC frinta s12, s7 1E26409A frinta s26, s4 1E2643E1 frinta s1, s31 // FRINTA_asimdmisc_R 0x1011100x100001100010xxxxxxxxxx 2E218885 frinta v5.2s, v4.2s 2E2189F2 frinta v18.2s, v15.2s 6E218BC3 frinta v3.4s, v30.4s 2E218980 frinta v0.2s, v12.2s 2E218AA1 frinta v1.2s, v21.2s 6E218A02 frinta v2.4s, v16.4s 6E6189A3 frinta v3.2d, v13.2d 6E618930 frinta v16.2d, v9.2d 6E218947 frinta v7.4s, v10.4s 6E618954 frinta v20.2d, v10.2d 6E218AF2 frinta v18.4s, v23.4s 6E618A3E frinta v30.2d, v17.2d 6E618878 frinta v24.2d, v3.2d 6E61880F frinta v15.2d, v0.2d 2E218B72 frinta v18.2s, v27.2s 6E6189EC frinta v12.2d, v15.2d // FRINTA_asimdmiscfp16_R 0x10111001111001100010xxxxxxxxxx 6E79890C frinta v12.8h, v8.8h 6E79892C frinta v12.8h, v9.8h 2E798AF0 frinta v16.4h, v23.4h 2E7988B7 frinta v23.4h, v5.4h 2E798ADE frinta v30.4h, v22.4h 2E7989B9 frinta v25.4h, v13.4h 2E7989FA frinta v26.4h, v15.4h 6E79880C frinta v12.8h, v0.8h 2E79892E frinta v14.4h, v9.4h 6E798887 frinta v7.8h, v4.8h 6E798B3A frinta v26.8h, v25.8h 6E798921 frinta v1.8h, v9.8h 2E798AA1 frinta v1.4h, v21.4h 6E798893 frinta v19.8h, v4.8h 2E7989F7 frinta v23.4h, v15.4h 2E7989E2 frinta v2.4h, v15.4h // FRINTI_D_floatdp1 0001111001100111110000xxxxxxxxxx 1E67C3C3 frinti d3, d30 1E67C1B5 frinti d21, d13 1E67C1BE frinti d30, d13 1E67C1F3 frinti d19, d15 1E67C314 frinti d20, d24 1E67C354 frinti d20, d26 1E67C2F9 frinti d25, d23 1E67C326 frinti d6, d25 1E67C383 frinti d3, d28 1E67C2EF frinti d15, d23 1E67C0F8 frinti d24, d7 1E67C343 frinti d3, d26 1E67C1A5 frinti d5, d13 1E67C0F7 frinti d23, d7 1E67C057 frinti d23, d2 1E67C27A frinti d26, d19 // FRINTI_H_floatdp1 0001111011100111110000xxxxxxxxxx 1EE7C193 frinti h19, h12 1EE7C1E8 frinti h8, h15 1EE7C1E0 frinti h0, h15 1EE7C00D frinti h13, h0 1EE7C348 frinti h8, h26 1EE7C2DC frinti h28, h22 1EE7C3FB frinti h27, h31 1EE7C0FB frinti h27, h7 1EE7C3C5 frinti h5, h30 1EE7C376 frinti h22, h27 1EE7C25F frinti h31, h18 1EE7C1CE frinti h14, h14 1EE7C36C frinti h12, h27 1EE7C17F frinti h31, h11 1EE7C1C7 frinti h7, h14 1EE7C186 frinti h6, h12 // FRINTI_S_floatdp1 0001111000100111110000xxxxxxxxxx 1E27C317 frinti s23, s24 1E27C2EA frinti s10, s23 1E27C013 frinti s19, s0 1E27C388 frinti s8, s28 1E27C341 frinti s1, s26 1E27C3AA frinti s10, s29 1E27C090 frinti s16, s4 1E27C39E frinti s30, s28 1E27C379 frinti s25, s27 1E27C059 frinti s25, s2 1E27C177 frinti s23, s11 1E27C35B frinti s27, s26 1E27C3EC frinti s12, s31 1E27C36A frinti s10, s27 1E27C1A8 frinti s8, s13 1E27C260 frinti s0, s19 // FRINTI_asimdmisc_R 0x1011101x10000110xxxxxxxxxxxxxx 6EA19814 frinti v20.4s, v0.4s 6EE19ACF frinti v15.2d, v22.2d 2EA19A7A frinti v26.2s, v19.2s 2EA19996 frinti v22.2s, v12.2s 6EE19887 frinti v7.2d, v4.2d 6EA198BD frinti v29.4s, v5.4s 6EE19BDA frinti v26.2d, v30.2d 6EE19BE3 frinti v3.2d, v31.2d 6EA19800 frinti v0.4s, v0.4s 2EA198C0 frinti v0.2s, v6.2s 2EA19AF7 frinti v23.2s, v23.2s 6EA19A0F frinti v15.4s, v16.4s 6EA19AB5 frinti v21.4s, v21.4s 2EA19AD3 frinti v19.2s, v22.2s 6EE1996D frinti v13.2d, v11.2d 6EE19A4D frinti v13.2d, v18.2d // FRINTI_asimdmiscfp16_R 0x1011101111100110xxxxxxxxxxxxxx 6EF99BBC frinti v28.8h, v29.8h 2EF998ED frinti v13.4h, v7.4h 2EF9999E frinti v30.4h, v12.4h 6EF9983E frinti v30.8h, v1.8h 2EF99A4F frinti v15.4h, v18.4h 6EF99A7F frinti v31.8h, v19.8h 2EF998D8 frinti v24.4h, v6.4h 2EF99A56 frinti v22.4h, v18.4h 2EF999F4 frinti v20.4h, v15.4h 2EF99951 frinti v17.4h, v10.4h 6EF99AB7 frinti v23.8h, v21.8h 6EF99B41 frinti v1.8h, v26.8h 2EF9997A frinti v26.4h, v11.4h 6EF99B1F frinti v31.8h, v24.8h 6EF998FC frinti v28.8h, v7.8h 6EF99AC7 frinti v7.8h, v22.8h // FRINTM_D_floatdp1 0001111001100101010000xxxxxxxxxx 1E6543E6 frintm d6, d31 1E65409C frintm d28, d4 1E6542D6 frintm d22, d22 1E654025 frintm d5, d1 1E6540AD frintm d13, d5 1E65407A frintm d26, d3 1E654335 frintm d21, d25 1E6543C0 frintm d0, d30 1E654242 frintm d2, d18 1E6542A3 frintm d3, d21 1E654225 frintm d5, d17 1E6543BA frintm d26, d29 1E6542BB frintm d27, d21 1E6540DA frintm d26, d6 1E65425A frintm d26, d18 1E65413E frintm d30, d9 // FRINTM_H_floatdp1 0001111011100101010000xxxxxxxxxx 1EE5415D frintm h29, h10 1EE54264 frintm h4, h19 1EE54069 frintm h9, h3 1EE5411F frintm h31, h8 1EE540A2 frintm h2, h5 1EE54187 frintm h7, h12 1EE542EA frintm h10, h23 1EE542B8 frintm h24, h21 1EE541FE frintm h30, h15 1EE543E3 frintm h3, h31 1EE543A5 frintm h5, h29 1EE540C0 frintm h0, h6 1EE54045 frintm h5, h2 1EE540BF frintm h31, h5 1EE543E2 frintm h2, h31 1EE542CF frintm h15, h22 // FRINTM_S_floatdp1 0001111000100101010000xxxxxxxxxx 1E2542A8 frintm s8, s21 1E2543F5 frintm s21, s31 1E25422A frintm s10, s17 1E25435D frintm s29, s26 1E2540B2 frintm s18, s5 1E2542F6 frintm s22, s23 1E2540C7 frintm s7, s6 1E254338 frintm s24, s25 1E25429B frintm s27, s20 1E25425F frintm s31, s18 1E254085 frintm s5, s4 1E25406C frintm s12, s3 1E2543FD frintm s29, s31 1E254321 frintm s1, s25 1E25425D frintm s29, s18 1E254117 frintm s23, s8 // FRINTM_asimdmisc_R 0x0011100x100001100110xxxxxxxxxx 4E219BC6 frintm v6.4s, v30.4s 0E219AAB frintm v11.2s, v21.2s 4E619B65 frintm v5.2d, v27.2d 0E219B20 frintm v0.2s, v25.2s 4E219841 frintm v1.4s, v2.4s 0E2199D7 frintm v23.2s, v14.2s 4E219BB4 frintm v20.4s, v29.4s 0E219873 frintm v19.2s, v3.2s 4E219B1B frintm v27.4s, v24.4s 0E219BCE frintm v14.2s, v30.2s 0E219A6F frintm v15.2s, v19.2s 4E619B0C frintm v12.2d, v24.2d 0E219BD0 frintm v16.2s, v30.2s 4E61987F frintm v31.2d, v3.2d 4E619BBB frintm v27.2d, v29.2d 4E21983C frintm v28.4s, v1.4s // FRINTM_asimdmiscfp16_R 0x00111001111001100110xxxxxxxxxx 4E7998B5 frintm v21.8h, v5.8h 0E799849 frintm v9.4h, v2.4h 0E799838 frintm v24.4h, v1.4h 0E799A94 frintm v20.4h, v20.4h 4E799B79 frintm v25.8h, v27.8h 4E799834 frintm v20.8h, v1.8h 4E79989D frintm v29.8h, v4.8h 4E799AF6 frintm v22.8h, v23.8h 0E799B0D frintm v13.4h, v24.4h 4E799B8A frintm v10.8h, v28.8h 0E799A8D frintm v13.4h, v20.4h 0E799876 frintm v22.4h, v3.4h 0E799AB1 frintm v17.4h, v21.4h 0E799AA4 frintm v4.4h, v21.4h 4E799BB5 frintm v21.8h, v29.8h 4E799804 frintm v4.8h, v0.8h // FRINTN_D_floatdp1 0001111001100100010000xxxxxxxxxx 1E64409A frintn d26, d4 1E6442D8 frintn d24, d22 1E644364 frintn d4, d27 1E644212 frintn d18, d16 1E6440B1 frintn d17, d5 1E6442D4 frintn d20, d22 1E6443BF frintn d31, d29 1E64412B frintn d11, d9 1E644348 frintn d8, d26 1E64435E frintn d30, d26 1E64408F frintn d15, d4 1E64403F frintn d31, d1 1E6442FF frintn d31, d23 1E6441AD frintn d13, d13 1E644366 frintn d6, d27 1E6443B9 frintn d25, d29 // FRINTN_H_floatdp1 0001111011100100010000xxxxxxxxxx 1EE4435C frintn h28, h26 1EE441A6 frintn h6, h13 1EE4432A frintn h10, h25 1EE441CC frintn h12, h14 1EE443C3 frintn h3, h30 1EE44107 frintn h7, h8 1EE44143 frintn h3, h10 1EE44118 frintn h24, h8 1EE44265 frintn h5, h19 1EE44109 frintn h9, h8 1EE44290 frintn h16, h20 1EE4410A frintn h10, h8 1EE440B0 frintn h16, h5 1EE44314 frintn h20, h24 1EE44006 frintn h6, h0 1EE44102 frintn h2, h8 // FRINTN_S_floatdp1 0001111000100100010000xxxxxxxxxx 1E244240 frintn s0, s18 1E244266 frintn s6, s19 1E244118 frintn s24, s8 1E244046 frintn s6, s2 1E2443B3 frintn s19, s29 1E244027 frintn s7, s1 1E244280 frintn s0, s20 1E24432D frintn s13, s25 1E2440EE frintn s14, s7 1E2443C8 frintn s8, s30 1E2440B5 frintn s21, s5 1E2441CA frintn s10, s14 1E2442A6 frintn s6, s21 1E244040 frintn s0, s2 1E244156 frintn s22, s10 1E244222 frintn s2, s17 // FRINTN_asimdmisc_R 0x0011100x100001100010xxxxxxxxxx 0E218BA8 frintn v8.2s, v29.2s 4E218955 frintn v21.4s, v10.4s 4E6188A2 frintn v2.2d, v5.2d 4E618972 frintn v18.2d, v11.2d 4E618B69 frintn v9.2d, v27.2d 4E618A19 frintn v25.2d, v16.2d 4E618B5A frintn v26.2d, v26.2d 4E61899F frintn v31.2d, v12.2d 0E218B1F frintn v31.2s, v24.2s 0E21887F frintn v31.2s, v3.2s 0E218929 frintn v9.2s, v9.2s 4E618B5F frintn v31.2d, v26.2d 4E2188AD frintn v13.4s, v5.4s 4E218BD4 frintn v20.4s, v30.4s 0E218BD1 frintn v17.2s, v30.2s 4E218B6E frintn v14.4s, v27.4s // FRINTN_asimdmiscfp16_R 0x00111001111001100010xxxxxxxxxx 0E798818 frintn v24.4h, v0.4h 0E798A93 frintn v19.4h, v20.4h 4E798947 frintn v7.8h, v10.8h 4E7989A5 frintn v5.8h, v13.8h 4E798925 frintn v5.8h, v9.8h 0E798AF3 frintn v19.4h, v23.4h 0E7989E9 frintn v9.4h, v15.4h 4E798B81 frintn v1.8h, v28.8h 4E798A8C frintn v12.8h, v20.8h 4E798952 frintn v18.8h, v10.8h 0E798899 frintn v25.4h, v4.4h 4E7989D4 frintn v20.8h, v14.8h 0E798B56 frintn v22.4h, v26.4h 4E79887D frintn v29.8h, v3.8h 4E7988A8 frintn v8.8h, v5.8h 4E798AC4 frintn v4.8h, v22.8h // FRINTP_D_floatdp1 0001111001100100110000xxxxxxxxxx 1E64C1BC frintp d28, d13 1E64C345 frintp d5, d26 1E64C0DD frintp d29, d6 1E64C119 frintp d25, d8 1E64C26D frintp d13, d19 1E64C147 frintp d7, d10 1E64C161 frintp d1, d11 1E64C3C8 frintp d8, d30 1E64C183 frintp d3, d12 1E64C18B frintp d11, d12 1E64C17D frintp d29, d11 1E64C14E frintp d14, d10 1E64C139 frintp d25, d9 1E64C113 frintp d19, d8 1E64C395 frintp d21, d28 1E64C3A9 frintp d9, d29 // FRINTP_H_floatdp1 0001111011100100110000xxxxxxxxxx 1EE4C29B frintp h27, h20 1EE4C263 frintp h3, h19 1EE4C1C8 frintp h8, h14 1EE4C2D6 frintp h22, h22 1EE4C2A9 frintp h9, h21 1EE4C094 frintp h20, h4 1EE4C08E frintp h14, h4 1EE4C02D frintp h13, h1 1EE4C34C frintp h12, h26 1EE4C2F9 frintp h25, h23 1EE4C3EF frintp h15, h31 1EE4C31C frintp h28, h24 1EE4C20A frintp h10, h16 1EE4C1A3 frintp h3, h13 1EE4C152 frintp h18, h10 1EE4C301 frintp h1, h24 // FRINTP_S_floatdp1 0001111000100100110000xxxxxxxxxx 1E24C0B8 frintp s24, s5 1E24C08A frintp s10, s4 1E24C143 frintp s3, s10 1E24C14B frintp s11, s10 1E24C165 frintp s5, s11 1E24C258 frintp s24, s18 1E24C0D9 frintp s25, s6 1E24C095 frintp s21, s4 1E24C175 frintp s21, s11 1E24C333 frintp s19, s25 1E24C1C7 frintp s7, s14 1E24C3FD frintp s29, s31 1E24C3CC frintp s12, s30 1E24C039 frintp s25, s1 1E24C245 frintp s5, s18 1E24C13F frintp s31, s9 // FRINTP_asimdmisc_R 0x0011101x100001100010xxxxxxxxxx 4EE18A29 frintp v9.2d, v17.2d 4EA18AC8 frintp v8.4s, v22.4s 4EE18A5F frintp v31.2d, v18.2d 4EA1896C frintp v12.4s, v11.4s 4EE18A47 frintp v7.2d, v18.2d 4EA1891B frintp v27.4s, v8.4s 4EE18AB1 frintp v17.2d, v21.2d 4EE18A63 frintp v3.2d, v19.2d 4EE18A05 frintp v5.2d, v16.2d 0EA18988 frintp v8.2s, v12.2s 0EA18B27 frintp v7.2s, v25.2s 4EE18AE4 frintp v4.2d, v23.2d 4EE18B94 frintp v20.2d, v28.2d 4EA18B84 frintp v4.4s, v28.4s 4EA18987 frintp v7.4s, v12.4s 4EA18AAC frintp v12.4s, v21.4s // FRINTP_asimdmiscfp16_R 0x00111011111001100xxxxxxxxxxxxx 4EF98826 frintp v6.8h, v1.8h 0EF989F2 frintp v18.4h, v15.4h 4EF98B47 frintp v7.8h, v26.8h 4EF988CF frintp v15.8h, v6.8h 4EF988AD frintp v13.8h, v5.8h 0EF98971 frintp v17.4h, v11.4h 0EF989B4 frintp v20.4h, v13.4h 4EF98B76 frintp v22.8h, v27.8h 0EF98BB7 frintp v23.4h, v29.4h 4EF98BE0 frintp v0.8h, v31.8h 4EF98BAF frintp v15.8h, v29.8h 4EF98946 frintp v6.8h, v10.8h 0EF988C3 frintp v3.4h, v6.4h 4EF9884E frintp v14.8h, v2.8h 4EF98A04 frintp v4.8h, v16.8h 4EF98B81 frintp v1.8h, v28.8h // FRINTX_D_floatdp1 0001111001100111010000xxxxxxxxxx 1E674168 frintx d8, d11 1E67401D frintx d29, d0 1E674182 frintx d2, d12 1E6742AD frintx d13, d21 1E674070 frintx d16, d3 1E674064 frintx d4, d3 1E674357 frintx d23, d26 1E67405F frintx d31, d2 1E6743E7 frintx d7, d31 1E674297 frintx d23, d20 1E6741FF frintx d31, d15 1E674277 frintx d23, d19 1E6740BB frintx d27, d5 1E674279 frintx d25, d19 1E6742F9 frintx d25, d23 1E6743EF frintx d15, d31 // FRINTX_H_floatdp1 0001111011100111010000xxxxxxxxxx 1EE740EB frintx h11, h7 1EE741DA frintx h26, h14 1EE74108 frintx h8, h8 1EE74376 frintx h22, h27 1EE742DB frintx h27, h22 1EE74351 frintx h17, h26 1EE74362 frintx h2, h27 1EE743FF frintx h31, h31 1EE74093 frintx h19, h4 1EE74278 frintx h24, h19 1EE743E4 frintx h4, h31 1EE74388 frintx h8, h28 1EE7419D frintx h29, h12 1EE74145 frintx h5, h10 1EE74144 frintx h4, h10 1EE7430E frintx h14, h24 // FRINTX_S_floatdp1 0001111000100111010000xxxxxxxxxx 1E274234 frintx s20, s17 1E2740B4 frintx s20, s5 1E27432C frintx s12, s25 1E27425D frintx s29, s18 1E274394 frintx s20, s28 1E27436A frintx s10, s27 1E27414D frintx s13, s10 1E27439D frintx s29, s28 1E2741D3 frintx s19, s14 1E2741C0 frintx s0, s14 1E27403E frintx s30, s1 1E27418B frintx s11, s12 1E27419E frintx s30, s12 1E2741C2 frintx s2, s14 1E274192 frintx s18, s12 1E274378 frintx s24, s27 // FRINTX_asimdmisc_R 0x1011100x10000110011xxxxxxxxxxx 2E2199CC frintx v12.2s, v14.2s 6E619A63 frintx v3.2d, v19.2d 6E219B59 frintx v25.4s, v26.4s 2E2199E8 frintx v8.2s, v15.2s 2E219810 frintx v16.2s, v0.2s 2E219BA4 frintx v4.2s, v29.2s 6E619A4D frintx v13.2d, v18.2d 6E619BA1 frintx v1.2d, v29.2d 6E219AF6 frintx v22.4s, v23.4s 6E619AC0 frintx v0.2d, v22.2d 6E21995B frintx v27.4s, v10.4s 2E2198CE frintx v14.2s, v6.2s 6E6199B2 frintx v18.2d, v13.2d 2E21985A frintx v26.2s, v2.2s 6E6198DE frintx v30.2d, v6.2d 2E219A3E frintx v30.2s, v17.2s // FRINTX_asimdmiscfp16_R 0x1011100111100110011xxxxxxxxxxx 6E799A05 frintx v5.8h, v16.8h 6E799817 frintx v23.8h, v0.8h 2E7998D8 frintx v24.4h, v6.4h 6E799A5A frintx v26.8h, v18.8h 2E799A79 frintx v25.4h, v19.4h 6E799B68 frintx v8.8h, v27.8h 2E799A25 frintx v5.4h, v17.4h 6E79984F frintx v15.8h, v2.8h 6E79996C frintx v12.8h, v11.8h 2E799B51 frintx v17.4h, v26.4h 6E799AD6 frintx v22.8h, v22.8h 6E799B27 frintx v7.8h, v25.8h 6E799AD5 frintx v21.8h, v22.8h 6E7998EC frintx v12.8h, v7.8h 6E799B44 frintx v4.8h, v26.8h 6E799B85 frintx v5.8h, v28.8h // FRINTZ_D_floatdp1 0001111001100101110000xxxxxxxxxx 1E65C265 frintz d5, d19 1E65C247 frintz d7, d18 1E65C25D frintz d29, d18 1E65C177 frintz d23, d11 1E65C294 frintz d20, d20 1E65C21F frintz d31, d16 1E65C0F6 frintz d22, d7 1E65C1C5 frintz d5, d14 1E65C2D6 frintz d22, d22 1E65C2F8 frintz d24, d23 1E65C23F frintz d31, d17 1E65C168 frintz d8, d11 1E65C235 frintz d21, d17 1E65C286 frintz d6, d20 1E65C2C0 frintz d0, d22 1E65C2ED frintz d13, d23 // FRINTZ_H_floatdp1 0001111011100101110000xxxxxxxxxx 1EE5C22F frintz h15, h17 1EE5C311 frintz h17, h24 1EE5C309 frintz h9, h24 1EE5C1B5 frintz h21, h13 1EE5C33D frintz h29, h25 1EE5C18A frintz h10, h12 1EE5C12E frintz h14, h9 1EE5C248 frintz h8, h18 1EE5C3DE frintz h30, h30 1EE5C1E2 frintz h2, h15 1EE5C0E9 frintz h9, h7 1EE5C39D frintz h29, h28 1EE5C062 frintz h2, h3 1EE5C069 frintz h9, h3 1EE5C387 frintz h7, h28 1EE5C2FC frintz h28, h23 // FRINTZ_S_floatdp1 0001111000100101110000xxxxxxxxxx 1E25C306 frintz s6, s24 1E25C04E frintz s14, s2 1E25C28B frintz s11, s20 1E25C270 frintz s16, s19 1E25C251 frintz s17, s18 1E25C008 frintz s8, s0 1E25C33C frintz s28, s25 1E25C319 frintz s25, s24 1E25C3BD frintz s29, s29 1E25C1FE frintz s30, s15 1E25C27C frintz s28, s19 1E25C25C frintz s28, s18 1E25C19B frintz s27, s12 1E25C27B frintz s27, s19 1E25C305 frintz s5, s24 1E25C16A frintz s10, s11 // FRINTZ_asimdmisc_R 0x0011101x10000110xxxxxxxxxxxxxx 0EA199C2 frintz v2.2s, v14.2s 4EA19BC3 frintz v3.4s, v30.4s 4EE19A75 frintz v21.2d, v19.2d 4EA19952 frintz v18.4s, v10.4s 0EA19B9E frintz v30.2s, v28.2s 4EA19B2E frintz v14.4s, v25.4s 4EE1988E frintz v14.2d, v4.2d 0EA198F3 frintz v19.2s, v7.2s 4EE19B91 frintz v17.2d, v28.2d 0EA198CE frintz v14.2s, v6.2s 0EA19A56 frintz v22.2s, v18.2s 4EE1980A frintz v10.2d, v0.2d 4EA19A1F frintz v31.4s, v16.4s 4EE19AE4 frintz v4.2d, v23.2d 4EA19831 frintz v17.4s, v1.4s 4EA198D1 frintz v17.4s, v6.4s // FRINTZ_asimdmiscfp16_R 0x0011101111100110xxxxxxxxxxxxxx 4EF9998E frintz v14.8h, v12.8h 4EF999CC frintz v12.8h, v14.8h 0EF99A14 frintz v20.4h, v16.4h 4EF99B4A frintz v10.8h, v26.8h 0EF9980B frintz v11.4h, v0.4h 4EF99887 frintz v7.8h, v4.8h 0EF99AF2 frintz v18.4h, v23.4h 0EF99BE3 frintz v3.4h, v31.4h 0EF99B41 frintz v1.4h, v26.4h 0EF99B74 frintz v20.4h, v27.4h 4EF998EF frintz v15.8h, v7.8h 4EF99BF9 frintz v25.8h, v31.8h 0EF998DA frintz v26.4h, v6.4h 4EF99B3A frintz v26.8h, v25.8h 0EF998F2 frintz v18.4h, v7.4h 0EF99874 frintz v20.4h, v3.4h // FRSQRTE_asimdmisc_R 0x1011101x100001110110xxxxxxxxxx 6EE1DB3E frsqrte v30.2d, v25.2d 6EA1DA1C frsqrte v28.4s, v16.4s 2EA1D887 frsqrte v7.2s, v4.2s 6EE1D811 frsqrte v17.2d, v0.2d 6EE1DAAD frsqrte v13.2d, v21.2d 6EA1D917 frsqrte v23.4s, v8.4s 2EA1D9E6 frsqrte v6.2s, v15.2s 6EE1DBA5 frsqrte v5.2d, v29.2d 6EA1D801 frsqrte v1.4s, v0.4s 6EE1DB00 frsqrte v0.2d, v24.2d 6EA1D9F6 frsqrte v22.4s, v15.4s 2EA1DAFC frsqrte v28.2s, v23.2s 6EE1D88B frsqrte v11.2d, v4.2d 6EA1D9BF frsqrte v31.4s, v13.4s 6EA1DAC0 frsqrte v0.4s, v22.4s 2EA1DB64 frsqrte v4.2s, v27.2s // FRSQRTE_asimdmiscfp16_R 0x10111011111001110110xxxxxxxxxx 6EF9D9E4 frsqrte v4.8h, v15.8h 6EF9D91E frsqrte v30.8h, v8.8h 2EF9D859 frsqrte v25.4h, v2.4h 2EF9DB7E frsqrte v30.4h, v27.4h 2EF9D97E frsqrte v30.4h, v11.4h 6EF9DB78 frsqrte v24.8h, v27.8h 2EF9DACC frsqrte v12.4h, v22.4h 2EF9DB74 frsqrte v20.4h, v27.4h 6EF9D941 frsqrte v1.8h, v10.8h 2EF9DAF3 frsqrte v19.4h, v23.4h 2EF9D9F0 frsqrte v16.4h, v15.4h 6EF9DB34 frsqrte v20.8h, v25.8h 2EF9D9E2 frsqrte v2.4h, v15.4h 2EF9DA15 frsqrte v21.4h, v16.4h 2EF9D984 frsqrte v4.4h, v12.4h 6EF9DA27 frsqrte v7.8h, v17.8h // FRSQRTE_asisdmisc_R 011111101x100001110110xxxxxxxxxx 7EE1D874 frsqrte d20, d3 7EE1D821 frsqrte d1, d1 7EA1DABD frsqrte s29, s21 7EA1DAB4 frsqrte s20, s21 7EE1D83C frsqrte d28, d1 7EA1D93A frsqrte s26, s9 7EE1D8A9 frsqrte d9, d5 7EE1D95F frsqrte d31, d10 7EA1D970 frsqrte s16, s11 7EA1D8F5 frsqrte s21, s7 7EA1DB40 frsqrte s0, s26 7EA1DA2B frsqrte s11, s17 7EE1D82C frsqrte d12, d1 7EA1D8AD frsqrte s13, s5 7EA1D9AE frsqrte s14, s13 7EA1D8F0 frsqrte s16, s7 // FRSQRTE_asisdmiscfp16_R 0111111011111001110110xxxxxxxxxx 7EF9DB45 frsqrte h5, h26 7EF9D868 frsqrte h8, h3 7EF9DBC0 frsqrte h0, h30 7EF9D847 frsqrte h7, h2 7EF9D81C frsqrte h28, h0 7EF9DB44 frsqrte h4, h26 7EF9DA92 frsqrte h18, h20 7EF9DB26 frsqrte h6, h25 7EF9DA9C frsqrte h28, h20 7EF9DB9C frsqrte h28, h28 7EF9D9E3 frsqrte h3, h15 7EF9DBE4 frsqrte h4, h31 7EF9DA10 frsqrte h16, h16 7EF9DA60 frsqrte h0, h19 7EF9DB41 frsqrte h1, h26 7EF9D86D frsqrte h13, h3 // FRSQRTS_asimdsame_only 0x0011101x1xxxxxxxxxxxxxxxxxxxxx 4EA8FDD8 frsqrts v24.4s, v14.4s, v8.4s 4EE5FD49 frsqrts v9.2d, v10.2d, v5.2d 4EAFFD8C frsqrts v12.4s, v12.4s, v15.4s 4EA0FCDE frsqrts v30.4s, v6.4s, v0.4s 4EA3FC18 frsqrts v24.4s, v0.4s, v3.4s 4EFFFF95 frsqrts v21.2d, v28.2d, v31.2d 0EB9FD99 frsqrts v25.2s, v12.2s, v25.2s 4EB6FC18 frsqrts v24.4s, v0.4s, v22.4s 0EA1FFB5 frsqrts v21.2s, v29.2s, v1.2s 4EADFD4B frsqrts v11.4s, v10.4s, v13.4s 0EB7FF47 frsqrts v7.2s, v26.2s, v23.2s 4EF0FF17 frsqrts v23.2d, v24.2d, v16.2d 0EB0FFBA frsqrts v26.2s, v29.2s, v16.2s 4EB8FE2A frsqrts v10.4s, v17.4s, v24.4s 4EF0FFCB frsqrts v11.2d, v30.2d, v16.2d 4EE8FE1C frsqrts v28.2d, v16.2d, v8.2d // FRSQRTS_asimdsamefp16_only 0x001110110xxxxx001111xxxxxxxxxx 0ED23EB6 frsqrts v22.4h, v21.4h, v18.4h 0ECE3C0E frsqrts v14.4h, v0.4h, v14.4h 4EC93C6C frsqrts v12.8h, v3.8h, v9.8h 4EC63E75 frsqrts v21.8h, v19.8h, v6.8h 0EC63E65 frsqrts v5.4h, v19.4h, v6.4h 4EC73C36 frsqrts v22.8h, v1.8h, v7.8h 0EC93F9A frsqrts v26.4h, v28.4h, v9.4h 4ED63C83 frsqrts v3.8h, v4.8h, v22.8h 0EC93C94 frsqrts v20.4h, v4.4h, v9.4h 4ED33E96 frsqrts v22.8h, v20.8h, v19.8h 4ED03FB5 frsqrts v21.8h, v29.8h, v16.8h 0EC33F37 frsqrts v23.4h, v25.4h, v3.4h 4EDD3C4F frsqrts v15.8h, v2.8h, v29.8h 4EC43DC6 frsqrts v6.8h, v14.8h, v4.8h 4ECA3F05 frsqrts v5.8h, v24.8h, v10.8h 4EDB3C5F frsqrts v31.8h, v2.8h, v27.8h // FRSQRTS_asisdsame_only 010111101x1xxxxx111111xxxxxxxxxx 5EFBFE1B frsqrts d27, d16, d27 5EB5FE32 frsqrts s18, s17, s21 5EF3FE50 frsqrts d16, d18, d19 5EBAFDD2 frsqrts s18, s14, s26 5EF9FDCF frsqrts d15, d14, d25 5EF5FEAF frsqrts d15, d21, d21 5EF6FF98 frsqrts d24, d28, d22 5EEAFC83 frsqrts d3, d4, d10 5EE2FCD8 frsqrts d24, d6, d2 5EB3FDAF frsqrts s15, s13, s19 5EB1FC26 frsqrts s6, s1, s17 5EF8FF5F frsqrts d31, d26, d24 5EE4FC87 frsqrts d7, d4, d4 5EEDFD4C frsqrts d12, d10, d13 5EE6FFA2 frsqrts d2, d29, d6 5EE1FCF0 frsqrts d16, d7, d1 // FRSQRTS_asisdsamefp16_only 01011110110xxxxx001111xxxxxxxxxx 5EC83E71 frsqrts h17, h19, h8 5ED33FDC frsqrts h28, h30, h19 5EC83FC7 frsqrts h7, h30, h8 5ED43F4E frsqrts h14, h26, h20 5EDC3F20 frsqrts h0, h25, h28 5EC83E8F frsqrts h15, h20, h8 5ED53EF9 frsqrts h25, h23, h21 5ED33C02 frsqrts h2, h0, h19 5ECC3FFF frsqrts h31, h31, h12 5EC93D35 frsqrts h21, h9, h9 5ED63FA8 frsqrts h8, h29, h22 5ECE3F36 frsqrts h22, h25, h14 5EC43DDC frsqrts h28, h14, h4 5EDD3C13 frsqrts h19, h0, h29 5EDE3E4D frsqrts h13, h18, h30 5ED23D87 frsqrts h7, h12, h18 // FSQRT_D_floatdp1 0001111001100001110000xxxxxxxxxx 1E61C26A fsqrt d10, d19 1E61C0FA fsqrt d26, d7 1E61C085 fsqrt d5, d4 1E61C218 fsqrt d24, d16 1E61C287 fsqrt d7, d20 1E61C286 fsqrt d6, d20 1E61C388 fsqrt d8, d28 1E61C1E5 fsqrt d5, d15 1E61C258 fsqrt d24, d18 1E61C0BC fsqrt d28, d5 1E61C1A8 fsqrt d8, d13 1E61C3D1 fsqrt d17, d30 1E61C3BC fsqrt d28, d29 1E61C18C fsqrt d12, d12 1E61C3D0 fsqrt d16, d30 1E61C3B2 fsqrt d18, d29 // FSQRT_H_floatdp1 0001111011100001110000xxxxxxxxxx 1EE1C1F3 fsqrt h19, h15 1EE1C1BA fsqrt h26, h13 1EE1C219 fsqrt h25, h16 1EE1C3B8 fsqrt h24, h29 1EE1C3B3 fsqrt h19, h29 1EE1C0AF fsqrt h15, h5 1EE1C261 fsqrt h1, h19 1EE1C265 fsqrt h5, h19 1EE1C3A9 fsqrt h9, h29 1EE1C38D fsqrt h13, h28 1EE1C094 fsqrt h20, h4 1EE1C12F fsqrt h15, h9 1EE1C1A9 fsqrt h9, h13 1EE1C0A1 fsqrt h1, h5 1EE1C246 fsqrt h6, h18 1EE1C1D8 fsqrt h24, h14 // FSQRT_S_floatdp1 0001111000100001110000xxxxxxxxxx 1E21C215 fsqrt s21, s16 1E21C0A6 fsqrt s6, s5 1E21C176 fsqrt s22, s11 1E21C166 fsqrt s6, s11 1E21C037 fsqrt s23, s1 1E21C2A3 fsqrt s3, s21 1E21C03C fsqrt s28, s1 1E21C30B fsqrt s11, s24 1E21C119 fsqrt s25, s8 1E21C074 fsqrt s20, s3 1E21C113 fsqrt s19, s8 1E21C3DE fsqrt s30, s30 1E21C146 fsqrt s6, s10 1E21C072 fsqrt s18, s3 1E21C008 fsqrt s8, s0 1E21C3D5 fsqrt s21, s30 // FSQRT_asimdmisc_R 0x1011101x100001111110xxxxxxxxxx 6EE1FA8A fsqrt v10.2d, v20.2d 6EE1FBCE fsqrt v14.2d, v30.2d 6EA1F96F fsqrt v15.4s, v11.4s 6EE1F94A fsqrt v10.2d, v10.2d 6EA1FA2F fsqrt v15.4s, v17.4s 2EA1FB6C fsqrt v12.2s, v27.2s 2EA1FA7B fsqrt v27.2s, v19.2s 6EE1FA7F fsqrt v31.2d, v19.2d 2EA1FBD5 fsqrt v21.2s, v30.2s 6EE1F989 fsqrt v9.2d, v12.2d 6EA1FB09 fsqrt v9.4s, v24.4s 6EA1F856 fsqrt v22.4s, v2.4s 6EE1FB89 fsqrt v9.2d, v28.2d 2EA1FA54 fsqrt v20.2s, v18.2s 6EA1F977 fsqrt v23.4s, v11.4s 6EA1FA40 fsqrt v0.4s, v18.4s // FSQRT_asimdmiscfp16_R 0x10111011111001111110xxxxxxxxxx 2EF9F89E fsqrt v30.4h, v4.4h 2EF9FA84 fsqrt v4.4h, v20.4h 2EF9F8E0 fsqrt v0.4h, v7.4h 2EF9FAEB fsqrt v11.4h, v23.4h 2EF9FA7B fsqrt v27.4h, v19.4h 6EF9F8D7 fsqrt v23.8h, v6.8h 2EF9FB54 fsqrt v20.4h, v26.4h 2EF9FA4F fsqrt v15.4h, v18.4h 2EF9FB84 fsqrt v4.4h, v28.4h 2EF9F88C fsqrt v12.4h, v4.4h 6EF9F9BC fsqrt v28.8h, v13.8h 2EF9F808 fsqrt v8.4h, v0.4h 6EF9F8F7 fsqrt v23.8h, v7.8h 2EF9F8D0 fsqrt v16.4h, v6.4h 6EF9F95A fsqrt v26.8h, v10.8h 6EF9F84D fsqrt v13.8h, v2.8h // FSUB_D_floatdp2 00011110011xxxxx001110xxxxxxxxxx 1E6B38E9 fsub d9, d7, d11 1E6739C1 fsub d1, d14, d7 1E7C3AC1 fsub d1, d22, d28 1E7B381A fsub d26, d0, d27 1E6A38CB fsub d11, d6, d10 1E763B11 fsub d17, d24, d22 1E6D3A81 fsub d1, d20, d13 1E693BFB fsub d27, d31, d9 1E623ADB fsub d27, d22, d2 1E693AD2 fsub d18, d22, d9 1E753A5B fsub d27, d18, d21 1E673A94 fsub d20, d20, d7 1E793960 fsub d0, d11, d25 1E793AEA fsub d10, d23, d25 1E7F3805 fsub d5, d0, d31 1E6B3BC1 fsub d1, d30, d11 // FSUB_H_floatdp2 00011110111xxxxx001110xxxxxxxxxx 1EE93AA3 fsub h3, h21, h9 1EE23ACA fsub h10, h22, h2 1EE43B89 fsub h9, h28, h4 1EF13A1F fsub h31, h16, h17 1EF73A13 fsub h19, h16, h23 1EFE38EC fsub h12, h7, h30 1EE13940 fsub h0, h10, h1 1EE33B82 fsub h2, h28, h3 1EE03B3E fsub h30, h25, h0 1EE73A7C fsub h28, h19, h7 1EE83B64 fsub h4, h27, h8 1EF93BAE fsub h14, h29, h25 1EF638E0 fsub h0, h7, h22 1EE53B1C fsub h28, h24, h5 1EE739F8 fsub h24, h15, h7 1EF8395A fsub h26, h10, h24 // FSUB_S_floatdp2 00011110001xxxxx001110xxxxxxxxxx 1E3C3952 fsub s18, s10, s28 1E37398B fsub s11, s12, s23 1E273B9C fsub s28, s28, s7 1E3539B6 fsub s22, s13, s21 1E27390F fsub s15, s8, s7 1E3439BF fsub s31, s13, s20 1E213A7B fsub s27, s19, s1 1E3B3827 fsub s7, s1, s27 1E3538A3 fsub s3, s5, s21 1E3A3B9E fsub s30, s28, s26 1E2D3B55 fsub s21, s26, s13 1E3E3971 fsub s17, s11, s30 1E243834 fsub s20, s1, s4 1E2F3964 fsub s4, s11, s15 1E3C384F fsub s15, s2, s28 1E393BDF fsub s31, s30, s25 // FSUB_asimdsame_only 0x0011101x1xxxxx110101xxxxxxxxxx 0EB4D778 fsub v24.2s, v27.2s, v20.2s 4EAFD605 fsub v5.4s, v16.4s, v15.4s 0EB3D7D0 fsub v16.2s, v30.2s, v19.2s 4EF6D567 fsub v7.2d, v11.2d, v22.2d 4EFBD513 fsub v19.2d, v8.2d, v27.2d 0EADD49D fsub v29.2s, v4.2s, v13.2s 4EAED7F2 fsub v18.4s, v31.4s, v14.4s 4EB9D73D fsub v29.4s, v25.4s, v25.4s 4EF5D56C fsub v12.2d, v11.2d, v21.2d 4EF5D7DE fsub v30.2d, v30.2d, v21.2d 0EB9D4D5 fsub v21.2s, v6.2s, v25.2s 4EE5D67C fsub v28.2d, v19.2d, v5.2d 4EE6D4C6 fsub v6.2d, v6.2d, v6.2d 4EAED652 fsub v18.4s, v18.4s, v14.4s 4EFED62A fsub v10.2d, v17.2d, v30.2d 0EADD6B3 fsub v19.2s, v21.2s, v13.2s // FSUB_asimdsamefp16_only 0x001110110xxxxx0001xxxxxxxxxxxx 4EC317AA fsub v10.8h, v29.8h, v3.8h 0ED11778 fsub v24.4h, v27.4h, v17.4h 4ED315B5 fsub v21.8h, v13.8h, v19.8h 0ED614C5 fsub v5.4h, v6.4h, v22.4h 0ED4147C fsub v28.4h, v3.4h, v20.4h 4ED516C9 fsub v9.8h, v22.8h, v21.8h 0ECC172B fsub v11.4h, v25.4h, v12.4h 0ECF1624 fsub v4.4h, v17.4h, v15.4h 4ED6159A fsub v26.8h, v12.8h, v22.8h 4ECE15C1 fsub v1.8h, v14.8h, v14.8h 4ED31402 fsub v2.8h, v0.8h, v19.8h 0ED31447 fsub v7.4h, v2.4h, v19.4h 4EDC141F fsub v31.8h, v0.8h, v28.8h 4EDD164B fsub v11.8h, v18.8h, v29.8h 4EDC1731 fsub v17.8h, v25.8h, v28.8h 4ECE15EA fsub v10.8h, v15.8h, v14.8h // GMI_64G_dp_2src 10011010110xxxxx000101xxxxxxxxxx 9ADB14C8 gmi x8, x6, x27 9AC21725 gmi x5, x25, x2 9AC3151A gmi x26, x8, x3 9AC8141A gmi x26, x0, x8 9AD416B2 gmi x18, x21, x20 9AD417E4 gmi x4, sp, x20 9AC517C0 gmi x0, x30, x5 9AC8144A gmi x10, x2, x8 9ADF152A gmi x10, x9, xzr 9ACA1584 gmi x4, x12, x10 9AD51642 gmi x2, x18, x21 9AD21433 gmi x19, x1, x18 9ADF14DC gmi x28, x6, xzr 9ACD170A gmi x10, x24, x13 9ACE1700 gmi x0, x24, x14 9AC9160F gmi x15, x16, x9 // HLT_EX_exception 11010100010xxxxxxxxxxxxxxxx00000 D4424C60 hlt #0x1263 D459BE40 hlt #0xcdf2 D45103E0 hlt #0x881f D4406B80 hlt #0x35c D45E11C0 hlt #0xf08e D4500920 hlt #0x8049 D44BF9A0 hlt #0x5fcd D44BC340 hlt #0x5e1a D44CA580 hlt #0x652c D458FC40 hlt #0xc7e2 D45FF180 hlt #0xff8c D4459D80 hlt #0x2cec D45AAE60 hlt #0xd573 D4554F40 hlt #0xaa7a D44ADD00 hlt #0x56e8 D4444580 hlt #0x222c // HVC_EX_exception 11010100000xxxxxxxxxxxxxxxx00010 D40DF462 hvc #0x6fa3 D4149882 hvc #0xa4c4 D41AB642 hvc #0xd5b2 D410BCA2 hvc #0x85e5 D41522A2 hvc #0xa915 D41F49A2 hvc #0xfa4d D4126FA2 hvc #0x937d D41D6E82 hvc #0xeb74 D41E4342 hvc #0xf21a D406A482 hvc #0x3524 D418A062 hvc #0xc503 D4163C82 hvc #0xb1e4 D4038FE2 hvc #0x1c7f D413CEC2 hvc #0x9e76 D41F9422 hvc #0xfca1 D412ED22 hvc #0x9769 // IRG_64I_dp_2src 10011010110xxxxx000100xxxxxxxxxx 9AC112F1 irg x17, x23, x1 9ADE1380 irg x0, x28, x30 9AD012C9 irg x9, x22, x16 9AC41363 irg x3, x27, x4 9AD11200 irg x0, x16, x17 9AC21330 irg x16, x25, x2 9AC01375 irg x21, x27, x0 9AC41150 irg x16, x10, x4 9AC9125A irg x26, x18, x9 9ADE11DA irg x26, x14, x30 9AC3114F irg x15, x10, x3 9AD71323 irg x3, x25, x23 9AC3128F irg x15, x20, x3 9AD913CE irg x14, x30, x25 9ADC12F2 irg x18, x23, x28 9ADF130C irg x12, x24 // ISB_BI_barriers 11010101000000110011xxxx11011111 D50331DF isb #0x1 D5033FDF isb D5033ADF isb #0xa D5033EDF isb #0xe D5033DDF isb #0xd D50339DF isb #0x9 D50334DF isb #0x4 D50335DF isb #0x5 D50333DF isb #0x3 D5033CDF isb #0xc D50336DF isb #0x6 D50337DF isb #0x7 D50330DF isb #0x0 D50332DF isb #0x2 D50338DF isb #0x8 D5033BDF isb #0xb // LD1R_asisdlso_R1 0x001101010000001100xxxxxxxxxxxx 0D40C2B8 ld1r {v24.8b}, [x21] 4D40CBDF ld1r {v31.4s}, [x30] 0D40CE14 ld1r {v20.1d}, [x16] 4D40CF8E ld1r {v14.2d}, [x28] 4D40CED8 ld1r {v24.2d}, [x22] 4D40CD9F ld1r {v31.2d}, [x12] 0D40C9C5 ld1r {v5.2s}, [x14] 0D40CDB4 ld1r {v20.1d}, [x13] 0D40CB61 ld1r {v1.2s}, [x27] 4D40C427 ld1r {v7.8h}, [x1] 0D40C5F1 ld1r {v17.4h}, [x15] 4D40CDC8 ld1r {v8.2d}, [x14] 4D40C0CB ld1r {v11.16b}, [x6] 4D40C1B2 ld1r {v18.16b}, [x13] 0D40C9F0 ld1r {v16.2s}, [x15] 0D40C4AA ld1r {v10.4h}, [x5] // LD1R_asisdlsop_R1_i 0x001101110111111100xxxxxxxxxxxx 4DDFC397 ld1r {v23.16b}, [x28], #0x1 4DDFC0C6 ld1r {v6.16b}, [x6], #0x1 0DDFC228 ld1r {v8.8b}, [x17], #0x1 4DDFCDD4 ld1r {v20.2d}, [x14], #0x8 4DDFCB80 ld1r {v0.4s}, [x28], #0x4 0DDFC4A5 ld1r {v5.4h}, [x5], #0x2 0DDFCB45 ld1r {v5.2s}, [x26], #0x4 0DDFC3E3 ld1r {v3.8b}, [sp], #0x1 0DDFC201 ld1r {v1.8b}, [x16], #0x1 0DDFC496 ld1r {v22.4h}, [x4], #0x2 4DDFCE04 ld1r {v4.2d}, [x16], #0x8 4DDFC698 ld1r {v24.8h}, [x20], #0x2 4DDFC352 ld1r {v18.16b}, [x26], #0x1 4DDFCA0D ld1r {v13.4s}, [x16], #0x4 4DDFC247 ld1r {v7.16b}, [x18], #0x1 4DDFCA30 ld1r {v16.4s}, [x17], #0x4 // LD1R_asisdlsop_RX1_r 0x001101110xxxxx1100xxxxxxxxxxxx 0DC1C130 ld1r {v16.8b}, [x9], x1 0DDDC8C7 ld1r {v7.2s}, [x6], x29 0DC7CA81 ld1r {v1.2s}, [x20], x7 4DD4C397 ld1r {v23.16b}, [x28], x20 0DD4C6B6 ld1r {v22.4h}, [x21], x20 0DD8C90E ld1r {v14.2s}, [x8], x24 0DDBC0FA ld1r {v26.8b}, [x7], x27 4DCCC3E5 ld1r {v5.16b}, [sp], x12 4DD6C531 ld1r {v17.8h}, [x9], x22 0DC5C27B ld1r {v27.8b}, [x19], x5 0DC2C3CC ld1r {v12.8b}, [x30], x2 4DDDC387 ld1r {v7.16b}, [x28], x29 4DC8CB61 ld1r {v1.4s}, [x27], x8 4DD4CEED ld1r {v13.2d}, [x23], x20 0DDEC60E ld1r {v14.4h}, [x16], x30 4DCFCD00 ld1r {v0.2d}, [x8], x15 // LD1_asisdlse_R1_1v 0x001100010000000111xxxxxxxxxxxx 0C407FEE ld1 {v14.1d}, [sp] 4C4073AC ld1 {v12.16b}, [x29] 4C407406 ld1 {v6.8h}, [x0] 4C407448 ld1 {v8.8h}, [x2] 0C407EE2 ld1 {v2.1d}, [x23] 0C407AB0 ld1 {v16.2s}, [x21] 0C407DFC ld1 {v28.1d}, [x15] 0C407A00 ld1 {v0.2s}, [x16] 4C40790E ld1 {v14.4s}, [x8] 4C40734C ld1 {v12.16b}, [x26] 0C407732 ld1 {v18.4h}, [x25] 4C407FCD ld1 {v13.2d}, [x30] 4C407B6D ld1 {v13.4s}, [x27] 4C407A56 ld1 {v22.4s}, [x18] 4C407A6B ld1 {v11.4s}, [x19] 0C40719B ld1 {v27.8b}, [x12] // LD1_asisdlse_R2_2v 0x001100010000001010xxxxxxxxxxxx 0C40AD6F ld1 {v15.1d, v16.1d}, [x11] 0C40AC16 ld1 {v22.1d, v23.1d}, [x0] 0C40AF67 ld1 {v7.1d, v8.1d}, [x27] 4C40AD14 ld1 {v20.2d, v21.2d}, [x8] 0C40AA74 ld1 {v20.2s, v21.2s}, [x19] 4C40A54C ld1 {v12.8h, v13.8h}, [x10] 4C40A3AC ld1 {v12.16b, v13.16b}, [x29] 0C40A62C ld1 {v12.4h, v13.4h}, [x17] 4C40AFD3 ld1 {v19.2d, v20.2d}, [x30] 4C40A0B5 ld1 {v21.16b, v22.16b}, [x5] 4C40ADA0 ld1 {v0.2d, v1.2d}, [x13] 0C40AA42 ld1 {v2.2s, v3.2s}, [x18] 4C40A76B ld1 {v11.8h, v12.8h}, [x27] 4C40AD77 ld1 {v23.2d, v24.2d}, [x11] 0C40A1A9 ld1 {v9.8b, v10.8b}, [x13] 0C40A284 ld1 {v4.8b, v5.8b}, [x20] // LD1_asisdlse_R3_3v 0x001100010000000110xxxxxxxxxxxx 0C4062DD ld1 {v29.8b, v30.8b, v31.8b}, [x22] 4C40621D ld1 {v29.16b, v30.16b, v31.16b}, [x16] 0C4067E7 ld1 {v7.4h, v8.4h, v9.4h}, [sp] 4C40616B ld1 {v11.16b, v12.16b, v13.16b}, [x11] 0C406CB0 ld1 {v16.1d, v17.1d, v18.1d}, [x5] 0C4060D0 ld1 {v16.8b, v17.8b, v18.8b}, [x6] 0C406481 ld1 {v1.4h, v2.4h, v3.4h}, [x4] 4C4068A4 ld1 {v4.4s, v5.4s, v6.4s}, [x5] 0C4069F8 ld1 {v24.2s, v25.2s, v26.2s}, [x15] 4C406351 ld1 {v17.16b, v18.16b, v19.16b}, [x26] 0C406D03 ld1 {v3.1d, v4.1d, v5.1d}, [x8] 4C4068F6 ld1 {v22.4s, v23.4s, v24.4s}, [x7] 0C4063D4 ld1 {v20.8b, v21.8b, v22.8b}, [x30] 4C406F0C ld1 {v12.2d, v13.2d, v14.2d}, [x24] 0C4066D6 ld1 {v22.4h, v23.4h, v24.4h}, [x22] 4C406E40 ld1 {v0.2d, v1.2d, v2.2d}, [x18] // LD1_asisdlse_R4_4v 0x001100010000000010xxxxxxxxxxxx 4C402959 ld1 {v25.4s, v26.4s, v27.4s, v28.4s}, [x10] 4C402ED6 ld1 {v22.2d, v23.2d, v24.2d, v25.2d}, [x22] 0C4021EA ld1 {v10.8b, v11.8b, v12.8b, v13.8b}, [x15] 4C402BD8 ld1 {v24.4s, v25.4s, v26.4s, v27.4s}, [x30] 4C402CE4 ld1 {v4.2d, v5.2d, v6.2d, v7.2d}, [x7] 0C4025BC ld1 {v28.4h, v29.4h, v30.4h, v31.4h}, [x13] 4C4026E1 ld1 {v1.8h, v2.8h, v3.8h, v4.8h}, [x23] 0C402DFD ld1 {v29.1d, v30.1d, v31.1d, v0.1d}, [x15] 0C4020A5 ld1 {v5.8b, v6.8b, v7.8b, v8.8b}, [x5] 4C402233 ld1 {v19.16b, v20.16b, v21.16b, v22.16b}, [x17] 4C402DA8 ld1 {v8.2d, v9.2d, v10.2d, v11.2d}, [x13] 0C402195 ld1 {v21.8b, v22.8b, v23.8b, v24.8b}, [x12] 4C402AA1 ld1 {v1.4s, v2.4s, v3.4s, v4.4s}, [x21] 0C4020EC ld1 {v12.8b, v13.8b, v14.8b, v15.8b}, [x7] 0C40210A ld1 {v10.8b, v11.8b, v12.8b, v13.8b}, [x8] 0C402CD6 ld1 {v22.1d, v23.1d, v24.1d, v25.1d}, [x6] // LD1_asisdlsep_I1_i1 0x001100110111110111xxxxxxxxxxxx 0CDF74DF ld1 {v31.4h}, [x6], #0x8 4CDF7EC2 ld1 {v2.2d}, [x22], #0x10 4CDF7E1A ld1 {v26.2d}, [x16], #0x10 4CDF7632 ld1 {v18.8h}, [x17], #0x10 0CDF7975 ld1 {v21.2s}, [x11], #0x8 4CDF7403 ld1 {v3.8h}, [x0], #0x10 4CDF70DD ld1 {v29.16b}, [x6], #0x10 0CDF7B36 ld1 {v22.2s}, [x25], #0x8 4CDF76A1 ld1 {v1.8h}, [x21], #0x10 4CDF736F ld1 {v15.16b}, [x27], #0x10 0CDF743E ld1 {v30.4h}, [x1], #0x8 4CDF7574 ld1 {v20.8h}, [x11], #0x10 4CDF7CE3 ld1 {v3.2d}, [x7], #0x10 0CDF7626 ld1 {v6.4h}, [x17], #0x8 4CDF7265 ld1 {v5.16b}, [x19], #0x10 4CDF7528 ld1 {v8.8h}, [x9], #0x10 // LD1_asisdlsep_I2_i2 0x001100110111111010xxxxxxxxxxxx 0CDFA34A ld1 {v10.8b, v11.8b}, [x26], #0x10 4CDFAA21 ld1 {v1.4s, v2.4s}, [x17], #0x20 4CDFA129 ld1 {v9.16b, v10.16b}, [x9], #0x20 0CDFA2AE ld1 {v14.8b, v15.8b}, [x21], #0x10 4CDFAAA6 ld1 {v6.4s, v7.4s}, [x21], #0x20 0CDFAD91 ld1 {v17.1d, v18.1d}, [x12], #0x10 4CDFA706 ld1 {v6.8h, v7.8h}, [x24], #0x20 0CDFA3C7 ld1 {v7.8b, v8.8b}, [x30], #0x10 4CDFA1D6 ld1 {v22.16b, v23.16b}, [x14], #0x20 4CDFA0A9 ld1 {v9.16b, v10.16b}, [x5], #0x20 0CDFA534 ld1 {v20.4h, v21.4h}, [x9], #0x10 4CDFA8E5 ld1 {v5.4s, v6.4s}, [x7], #0x20 0CDFABDA ld1 {v26.2s, v27.2s}, [x30], #0x10 4CDFA8FF ld1 {v31.4s, v0.4s}, [x7], #0x20 0CDFAAF1 ld1 {v17.2s, v18.2s}, [x23], #0x10 0CDFA596 ld1 {v22.4h, v23.4h}, [x12], #0x10 // LD1_asisdlsep_I3_i3 0x001100110111110110xxxxxxxxxxxx 0CDF6CEF ld1 {v15.1d, v16.1d, v17.1d}, [x7], #0x18 4CDF6A27 ld1 {v7.4s, v8.4s, v9.4s}, [x17], #0x30 4CDF6851 ld1 {v17.4s, v18.4s, v19.4s}, [x2], #0x30 0CDF65C3 ld1 {v3.4h, v4.4h, v5.4h}, [x14], #0x18 4CDF64D4 ld1 {v20.8h, v21.8h, v22.8h}, [x6], #0x30 4CDF6613 ld1 {v19.8h, v20.8h, v21.8h}, [x16], #0x30 0CDF6B7B ld1 {v27.2s, v28.2s, v29.2s}, [x27], #0x18 0CDF6C88 ld1 {v8.1d, v9.1d, v10.1d}, [x4], #0x18 0CDF68AE ld1 {v14.2s, v15.2s, v16.2s}, [x5], #0x18 4CDF6E4C ld1 {v12.2d, v13.2d, v14.2d}, [x18], #0x30 0CDF6DE6 ld1 {v6.1d, v7.1d, v8.1d}, [x15], #0x18 4CDF6FF1 ld1 {v17.2d, v18.2d, v19.2d}, [sp], #0x30 0CDF685C ld1 {v28.2s, v29.2s, v30.2s}, [x2], #0x18 4CDF65A2 ld1 {v2.8h, v3.8h, v4.8h}, [x13], #0x30 0CDF6D1B ld1 {v27.1d, v28.1d, v29.1d}, [x8], #0x18 4CDF64EA ld1 {v10.8h, v11.8h, v12.8h}, [x7], #0x30 // LD1_asisdlsep_I4_i4 0x001100110111110010xxxxxxxxxxxx 4CDF2C3B ld1 {v27.2d, v28.2d, v29.2d, v30.2d}, [x1], #0x40 0CDF28D1 ld1 {v17.2s, v18.2s, v19.2s, v20.2s}, [x6], #0x20 0CDF24BB ld1 {v27.4h, v28.4h, v29.4h, v30.4h}, [x5], #0x20 4CDF2C07 ld1 {v7.2d, v8.2d, v9.2d, v10.2d}, [x0], #0x40 0CDF2719 ld1 {v25.4h, v26.4h, v27.4h, v28.4h}, [x24], #0x20 0CDF2CCE ld1 {v14.1d, v15.1d, v16.1d, v17.1d}, [x6], #0x20 4CDF2F61 ld1 {v1.2d, v2.2d, v3.2d, v4.2d}, [x27], #0x40 0CDF24AB ld1 {v11.4h, v12.4h, v13.4h, v14.4h}, [x5], #0x20 0CDF22DE ld1 {v30.8b, v31.8b, v0.8b, v1.8b}, [x22], #0x20 0CDF2FE7 ld1 {v7.1d, v8.1d, v9.1d, v10.1d}, [sp], #0x20 0CDF2705 ld1 {v5.4h, v6.4h, v7.4h, v8.4h}, [x24], #0x20 0CDF21AF ld1 {v15.8b, v16.8b, v17.8b, v18.8b}, [x13], #0x20 0CDF23AB ld1 {v11.8b, v12.8b, v13.8b, v14.8b}, [x29], #0x20 0CDF2DBD ld1 {v29.1d, v30.1d, v31.1d, v0.1d}, [x13], #0x20 4CDF23F4 ld1 {v20.16b, v21.16b, v22.16b, v23.16b}, [sp], #0x40 0CDF2145 ld1 {v5.8b, v6.8b, v7.8b, v8.8b}, [x10], #0x20 // LD1_asisdlsep_R1_r1 0x001100110xxxxx0111xxxxxxxxxxxx 4CC67D16 ld1 {v22.2d}, [x8], x6 4CDD7C4C ld1 {v12.2d}, [x2], x29 0CCC7E92 ld1 {v18.1d}, [x20], x12 4CCD7C7B ld1 {v27.2d}, [x3], x13 4CCD7E06 ld1 {v6.2d}, [x16], x13 4CDD7D14 ld1 {v20.2d}, [x8], x29 4CDD7725 ld1 {v5.8h}, [x25], x29 4CD67984 ld1 {v4.4s}, [x12], x22 4CC47C6A ld1 {v10.2d}, [x3], x4 4CCC71F7 ld1 {v23.16b}, [x15], x12 0CC07D57 ld1 {v23.1d}, [x10], x0 4CD97237 ld1 {v23.16b}, [x17], x25 0CCB7AE9 ld1 {v9.2s}, [x23], x11 0CD57ED5 ld1 {v21.1d}, [x22], x21 0CD17CC1 ld1 {v1.1d}, [x6], x17 4CD17E11 ld1 {v17.2d}, [x16], x17 // LD1_asisdlsep_R2_r2 0x001100110xxxxx1010xxxxxxxxxxxx 4CD3A285 ld1 {v5.16b, v6.16b}, [x20], x19 4CDEABEB ld1 {v11.4s, v12.4s}, [sp], x30 4CD2ABDA ld1 {v26.4s, v27.4s}, [x30], x18 4CD8A678 ld1 {v24.8h, v25.8h}, [x19], x24 0CCFA9E0 ld1 {v0.2s, v1.2s}, [x15], x15 0CC8A9FF ld1 {v31.2s, v0.2s}, [x15], x8 0CC9A179 ld1 {v25.8b, v26.8b}, [x11], x9 4CC6A2E4 ld1 {v4.16b, v5.16b}, [x23], x6 0CC1A6A9 ld1 {v9.4h, v10.4h}, [x21], x1 4CC1AFCC ld1 {v12.2d, v13.2d}, [x30], x1 0CDCAA1C ld1 {v28.2s, v29.2s}, [x16], x28 0CD9A702 ld1 {v2.4h, v3.4h}, [x24], x25 4CD3ADE8 ld1 {v8.2d, v9.2d}, [x15], x19 4CC9A293 ld1 {v19.16b, v20.16b}, [x20], x9 4CD9AF71 ld1 {v17.2d, v18.2d}, [x27], x25 0CDAA1BF ld1 {v31.8b, v0.8b}, [x13], x26 // LD1_asisdlsep_R3_r3 0x001100110xxxxx0110xxxxxxxxxxxx 4CC962DE ld1 {v30.16b, v31.16b, v0.16b}, [x22], x9 4CD36FBE ld1 {v30.2d, v31.2d, v0.2d}, [x29], x19 4CCC63D6 ld1 {v22.16b, v23.16b, v24.16b}, [x30], x12 0CD8698F ld1 {v15.2s, v16.2s, v17.2s}, [x12], x24 4CD06703 ld1 {v3.8h, v4.8h, v5.8h}, [x24], x16 0CD16CCD ld1 {v13.1d, v14.1d, v15.1d}, [x6], x17 0CDD6BDB ld1 {v27.2s, v28.2s, v29.2s}, [x30], x29 4CD065F4 ld1 {v20.8h, v21.8h, v22.8h}, [x15], x16 0CC865F8 ld1 {v24.4h, v25.4h, v26.4h}, [x15], x8 4CD66C46 ld1 {v6.2d, v7.2d, v8.2d}, [x2], x22 0CD860A2 ld1 {v2.8b, v3.8b, v4.8b}, [x5], x24 4CC86F39 ld1 {v25.2d, v26.2d, v27.2d}, [x25], x8 4CCD6A20 ld1 {v0.4s, v1.4s, v2.4s}, [x17], x13 0CD66883 ld1 {v3.2s, v4.2s, v5.2s}, [x4], x22 0CC869B7 ld1 {v23.2s, v24.2s, v25.2s}, [x13], x8 0CCD6198 ld1 {v24.8b, v25.8b, v26.8b}, [x12], x13 // LD1_asisdlsep_R4_r4 0x001100110xxxxx0010xxxxxxxxxxxx 4CC42A30 ld1 {v16.4s, v17.4s, v18.4s, v19.4s}, [x17], x4 4CC42830 ld1 {v16.4s, v17.4s, v18.4s, v19.4s}, [x1], x4 0CCD2D25 ld1 {v5.1d, v6.1d, v7.1d, v8.1d}, [x9], x13 0CD425A5 ld1 {v5.4h, v6.4h, v7.4h, v8.4h}, [x13], x20 4CDE2AE2 ld1 {v2.4s, v3.4s, v4.4s, v5.4s}, [x23], x30 4CD62751 ld1 {v17.8h, v18.8h, v19.8h, v20.8h}, [x26], x22 0CCA22F4 ld1 {v20.8b, v21.8b, v22.8b, v23.8b}, [x23], x10 4CDD2311 ld1 {v17.16b, v18.16b, v19.16b, v20.16b}, [x24], x29 4CC12ED5 ld1 {v21.2d, v22.2d, v23.2d, v24.2d}, [x22], x1 0CCC2379 ld1 {v25.8b, v26.8b, v27.8b, v28.8b}, [x27], x12 4CD52B51 ld1 {v17.4s, v18.4s, v19.4s, v20.4s}, [x26], x21 4CDA24E6 ld1 {v6.8h, v7.8h, v8.8h, v9.8h}, [x7], x26 4CD22A26 ld1 {v6.4s, v7.4s, v8.4s, v9.4s}, [x17], x18 4CDD232D ld1 {v13.16b, v14.16b, v15.16b, v16.16b}, [x25], x29 4CCA2923 ld1 {v3.4s, v4.4s, v5.4s, v6.4s}, [x9], x10 4CC32243 ld1 {v3.16b, v4.16b, v5.16b, v6.16b}, [x18], x3 // LD1_asisdlso_B1_1b 0x00110101000000000xxxxxxxxxxxxx 0D4002FC ld1 {v28.b}[0], [x23] 4D400BB3 ld1 {v19.b}[10], [x29] 4D401671 ld1 {v17.b}[13], [x19] 4D401E07 ld1 {v7.b}[15], [x16] 0D401BC7 ld1 {v7.b}[6], [x30] 0D401740 ld1 {v0.b}[5], [x26] 0D400755 ld1 {v21.b}[1], [x26] 0D40070B ld1 {v11.b}[1], [x24] 0D401C9E ld1 {v30.b}[7], [x4] 4D400E97 ld1 {v23.b}[11], [x20] 4D400DAA ld1 {v10.b}[11], [x13] 4D401A5F ld1 {v31.b}[14], [x18] 0D400334 ld1 {v20.b}[0], [x25] 4D400F90 ld1 {v16.b}[11], [x28] 4D400CD8 ld1 {v24.b}[11], [x6] 4D400B28 ld1 {v8.b}[10], [x25] // LD1_asisdlso_D1_1d 0x00110101000000100001xxxxxxxxxx 0D4087E2 ld1 {v2.d}[0], [sp] 0D4087F4 ld1 {v20.d}[0], [sp] 0D4084BD ld1 {v29.d}[0], [x5] 4D4084A3 ld1 {v3.d}[1], [x5] 0D408456 ld1 {v22.d}[0], [x2] 0D408754 ld1 {v20.d}[0], [x26] 4D4085DA ld1 {v26.d}[1], [x14] 4D408675 ld1 {v21.d}[1], [x19] 4D40875D ld1 {v29.d}[1], [x26] 0D408656 ld1 {v22.d}[0], [x18] 4D4085D8 ld1 {v24.d}[1], [x14] 0D40845A ld1 {v26.d}[0], [x2] 0D4085C8 ld1 {v8.d}[0], [x14] 4D4087CD ld1 {v13.d}[1], [x30] 0D408546 ld1 {v6.d}[0], [x10] 0D40870A ld1 {v10.d}[0], [x24] // LD1_asisdlso_H1_1h 0x00110101000000010xx0xxxxxxxxxx 4D4049E4 ld1 {v4.h}[5], [x15] 4D404897 ld1 {v23.h}[5], [x4] 4D404265 ld1 {v5.h}[4], [x19] 0D4049C0 ld1 {v0.h}[1], [x14] 4D404011 ld1 {v17.h}[4], [x0] 4D4048FA ld1 {v26.h}[5], [x7] 4D4051DF ld1 {v31.h}[6], [x14] 4D4041DF ld1 {v31.h}[4], [x14] 4D405A38 ld1 {v24.h}[7], [x17] 0D40487A ld1 {v26.h}[1], [x3] 0D404B39 ld1 {v25.h}[1], [x25] 4D4058A3 ld1 {v3.h}[7], [x5] 0D404BE7 ld1 {v7.h}[1], [sp] 4D405090 ld1 {v16.h}[6], [x4] 0D405890 ld1 {v16.h}[3], [x4] 4D404966 ld1 {v6.h}[5], [x11] // LD1_asisdlso_S1_1s 0x00110101000000100x00xxxxxxxxxx 0D4083FC ld1 {v28.s}[0], [sp] 4D4082CE ld1 {v14.s}[2], [x22] 4D4081A2 ld1 {v2.s}[2], [x13] 0D40822B ld1 {v11.s}[0], [x17] 0D4090C3 ld1 {v3.s}[1], [x6] 4D408261 ld1 {v1.s}[2], [x19] 4D40924E ld1 {v14.s}[3], [x18] 4D409309 ld1 {v9.s}[3], [x24] 4D409300 ld1 {v0.s}[3], [x24] 4D409020 ld1 {v0.s}[3], [x1] 0D4093A8 ld1 {v8.s}[1], [x29] 0D4092EC ld1 {v12.s}[1], [x23] 4D40939E ld1 {v30.s}[3], [x28] 0D4091AC ld1 {v12.s}[1], [x13] 4D40832B ld1 {v11.s}[2], [x25] 4D4091A1 ld1 {v1.s}[3], [x13] // LD1_asisdlsop_B1_i1b 0x00110111011111000xxxxxxxxxxxxx 4DDF0A71 ld1 {v17.b}[10], [x19], #0x1 0DDF0A70 ld1 {v16.b}[2], [x19], #0x1 0DDF1426 ld1 {v6.b}[5], [x1], #0x1 4DDF1EC4 ld1 {v4.b}[15], [x22], #0x1 4DDF0087 ld1 {v7.b}[8], [x4], #0x1 0DDF01EB ld1 {v11.b}[0], [x15], #0x1 4DDF124E ld1 {v14.b}[12], [x18], #0x1 0DDF026D ld1 {v13.b}[0], [x19], #0x1 4DDF06A0 ld1 {v0.b}[9], [x21], #0x1 4DDF07C5 ld1 {v5.b}[9], [x30], #0x1 0DDF14B9 ld1 {v25.b}[5], [x5], #0x1 0DDF1F52 ld1 {v18.b}[7], [x26], #0x1 4DDF085B ld1 {v27.b}[10], [x2], #0x1 0DDF1AEC ld1 {v12.b}[6], [x23], #0x1 0DDF1E16 ld1 {v22.b}[7], [x16], #0x1 4DDF0DA5 ld1 {v5.b}[11], [x13], #0x1 // LD1_asisdlsop_BX1_r1b 0x001101110xxxxx000xxxxxxxxxxxxx 0DDD1F45 ld1 {v5.b}[7], [x26], x29 0DCA0D05 ld1 {v5.b}[3], [x8], x10 0DD90CE1 ld1 {v1.b}[3], [x7], x25 4DD006BE ld1 {v30.b}[9], [x21], x16 4DCF0165 ld1 {v5.b}[8], [x11], x15 4DC71A8F ld1 {v15.b}[14], [x20], x7 4DDB0895 ld1 {v21.b}[10], [x4], x27 0DC80835 ld1 {v21.b}[2], [x1], x8 4DC802D6 ld1 {v22.b}[8], [x22], x8 4DC41305 ld1 {v5.b}[12], [x24], x4 4DC11C2F ld1 {v15.b}[15], [x1], x1 4DC10249 ld1 {v9.b}[8], [x18], x1 0DDC09D7 ld1 {v23.b}[2], [x14], x28 0DD60E19 ld1 {v25.b}[3], [x16], x22 0DC00B4B ld1 {v11.b}[2], [x26], x0 0DC0127B ld1 {v27.b}[4], [x19], x0 // LD1_asisdlsop_D1_i1d 0x00110111011111100001xxxxxxxxxx 4DDF8490 ld1 {v16.d}[1], [x4], #0x8 4DDF85E8 ld1 {v8.d}[1], [x15], #0x8 0DDF85F0 ld1 {v16.d}[0], [x15], #0x8 4DDF86FB ld1 {v27.d}[1], [x23], #0x8 4DDF87D0 ld1 {v16.d}[1], [x30], #0x8 0DDF855A ld1 {v26.d}[0], [x10], #0x8 4DDF855F ld1 {v31.d}[1], [x10], #0x8 0DDF87A9 ld1 {v9.d}[0], [x29], #0x8 0DDF8592 ld1 {v18.d}[0], [x12], #0x8 0DDF8448 ld1 {v8.d}[0], [x2], #0x8 0DDF86AA ld1 {v10.d}[0], [x21], #0x8 4DDF8459 ld1 {v25.d}[1], [x2], #0x8 4DDF8699 ld1 {v25.d}[1], [x20], #0x8 0DDF84F9 ld1 {v25.d}[0], [x7], #0x8 4DDF86DF ld1 {v31.d}[1], [x22], #0x8 4DDF871F ld1 {v31.d}[1], [x24], #0x8 // LD1_asisdlsop_DX1_r1d 0x001101110xxxxx100001xxxxxxxxxx 4DCD8712 ld1 {v18.d}[1], [x24], x13 0DDD85D9 ld1 {v25.d}[0], [x14], x29 4DCE85B8 ld1 {v24.d}[1], [x13], x14 0DC28714 ld1 {v20.d}[0], [x24], x2 4DD1877E ld1 {v30.d}[1], [x27], x17 0DC18737 ld1 {v23.d}[0], [x25], x1 4DC28425 ld1 {v5.d}[1], [x1], x2 0DDC85A9 ld1 {v9.d}[0], [x13], x28 0DD48491 ld1 {v17.d}[0], [x4], x20 0DC386D0 ld1 {v16.d}[0], [x22], x3 4DCA8570 ld1 {v16.d}[1], [x11], x10 0DD28529 ld1 {v9.d}[0], [x9], x18 4DD68667 ld1 {v7.d}[1], [x19], x22 4DD8854B ld1 {v11.d}[1], [x10], x24 4DC586AE ld1 {v14.d}[1], [x21], x5 0DD485D4 ld1 {v20.d}[0], [x14], x20 // LD1_asisdlsop_H1_i1h 0x00110111011111010xx0xxxxxxxxxx 0DDF42A6 ld1 {v6.h}[0], [x21], #0x2 0DDF4A15 ld1 {v21.h}[1], [x16], #0x2 0DDF495C ld1 {v28.h}[1], [x10], #0x2 4DDF4361 ld1 {v1.h}[4], [x27], #0x2 0DDF499C ld1 {v28.h}[1], [x12], #0x2 4DDF5385 ld1 {v5.h}[6], [x28], #0x2 0DDF497F ld1 {v31.h}[1], [x11], #0x2 0DDF49B2 ld1 {v18.h}[1], [x13], #0x2 0DDF50C5 ld1 {v5.h}[2], [x6], #0x2 0DDF4AB0 ld1 {v16.h}[1], [x21], #0x2 4DDF53EA ld1 {v10.h}[6], [sp], #0x2 4DDF5832 ld1 {v18.h}[7], [x1], #0x2 0DDF4AAC ld1 {v12.h}[1], [x21], #0x2 0DDF51A8 ld1 {v8.h}[2], [x13], #0x2 4DDF4ADD ld1 {v29.h}[5], [x22], #0x2 4DDF4B77 ld1 {v23.h}[5], [x27], #0x2 // LD1_asisdlsop_HX1_r1h 0x001101110xxxxx010xx0xxxxxxxxxx 4DDC5042 ld1 {v2.h}[6], [x2], x28 4DD151E3 ld1 {v3.h}[6], [x15], x17 4DD65BAE ld1 {v14.h}[7], [x29], x22 0DCC5236 ld1 {v22.h}[2], [x17], x12 4DDA4846 ld1 {v6.h}[5], [x2], x26 4DD95939 ld1 {v25.h}[7], [x9], x25 0DD05A5E ld1 {v30.h}[3], [x18], x16 0DDE4AA8 ld1 {v8.h}[1], [x21], x30 0DDD5863 ld1 {v3.h}[3], [x3], x29 4DCA59AA ld1 {v10.h}[7], [x13], x10 0DC95ADC ld1 {v28.h}[3], [x22], x9 0DC25027 ld1 {v7.h}[2], [x1], x2 0DCC40F7 ld1 {v23.h}[0], [x7], x12 4DC55112 ld1 {v18.h}[6], [x8], x5 0DDB501C ld1 {v28.h}[2], [x0], x27 4DC34039 ld1 {v25.h}[4], [x1], x3 // LD1_asisdlsop_S1_i1s 0x00110111011111100x00xxxxxxxxxx 0DDF81C0 ld1 {v0.s}[0], [x14], #0x4 0DDF9254 ld1 {v20.s}[1], [x18], #0x4 0DDF83B6 ld1 {v22.s}[0], [x29], #0x4 0DDF8101 ld1 {v1.s}[0], [x8], #0x4 0DDF934A ld1 {v10.s}[1], [x26], #0x4 0DDF82F2 ld1 {v18.s}[0], [x23], #0x4 4DDF83E3 ld1 {v3.s}[2], [sp], #0x4 4DDF828B ld1 {v11.s}[2], [x20], #0x4 0DDF8161 ld1 {v1.s}[0], [x11], #0x4 0DDF8380 ld1 {v0.s}[0], [x28], #0x4 0DDF9272 ld1 {v18.s}[1], [x19], #0x4 0DDF9276 ld1 {v22.s}[1], [x19], #0x4 4DDF91F8 ld1 {v24.s}[3], [x15], #0x4 4DDF936A ld1 {v10.s}[3], [x27], #0x4 4DDF804B ld1 {v11.s}[2], [x2], #0x4 0DDF934C ld1 {v12.s}[1], [x26], #0x4 // LD1_asisdlsop_SX1_r1s 0x001101110xxxxx100x00xxxxxxxxxx 0DC690D6 ld1 {v22.s}[1], [x6], x6 0DD792F6 ld1 {v22.s}[1], [x23], x23 0DC082BD ld1 {v29.s}[0], [x21], x0 4DC593F3 ld1 {v19.s}[3], [sp], x5 0DDA906A ld1 {v10.s}[1], [x3], x26 0DD691DF ld1 {v31.s}[1], [x14], x22 4DC882D8 ld1 {v24.s}[2], [x22], x8 4DC483BE ld1 {v30.s}[2], [x29], x4 0DD482F7 ld1 {v23.s}[0], [x23], x20 0DC68051 ld1 {v17.s}[0], [x2], x6 4DDE8023 ld1 {v3.s}[2], [x1], x30 0DCB920D ld1 {v13.s}[1], [x16], x11 0DD993AD ld1 {v13.s}[1], [x29], x25 0DC6907A ld1 {v26.s}[1], [x3], x6 0DCC8111 ld1 {v17.s}[0], [x8], x12 0DC78033 ld1 {v19.s}[0], [x1], x7 // LD2R_asisdlso_R2 0x001101011000001100xxxxxxxxxxxx 0D60C40A ld2r {v10.4h, v11.4h}, [x0] 0D60C79C ld2r {v28.4h, v29.4h}, [x28] 4D60C419 ld2r {v25.8h, v26.8h}, [x0] 0D60C329 ld2r {v9.8b, v10.8b}, [x25] 0D60CDF5 ld2r {v21.1d, v22.1d}, [x15] 4D60CF7C ld2r {v28.2d, v29.2d}, [x27] 0D60C7A7 ld2r {v7.4h, v8.4h}, [x29] 4D60C5FE ld2r {v30.8h, v31.8h}, [x15] 0D60C707 ld2r {v7.4h, v8.4h}, [x24] 0D60C68C ld2r {v12.4h, v13.4h}, [x20] 4D60CD4A ld2r {v10.2d, v11.2d}, [x10] 0D60CFCE ld2r {v14.1d, v15.1d}, [x30] 0D60CFB7 ld2r {v23.1d, v24.1d}, [x29] 0D60C998 ld2r {v24.2s, v25.2s}, [x12] 4D60CE80 ld2r {v0.2d, v1.2d}, [x20] 4D60C0B4 ld2r {v20.16b, v21.16b}, [x5] // LD2R_asisdlsop_R2_i 0x001101111111111100xxxxxxxxxxxx 4DFFC620 ld2r {v0.8h, v1.8h}, [x17], #0x4 4DFFCFE2 ld2r {v2.2d, v3.2d}, [sp], #0x10 0DFFC50C ld2r {v12.4h, v13.4h}, [x8], #0x4 0DFFC538 ld2r {v24.4h, v25.4h}, [x9], #0x4 4DFFC70E ld2r {v14.8h, v15.8h}, [x24], #0x4 4DFFCCF4 ld2r {v20.2d, v21.2d}, [x7], #0x10 0DFFCBDF ld2r {v31.2s, v0.2s}, [x30], #0x8 0DFFC917 ld2r {v23.2s, v24.2s}, [x8], #0x8 4DFFC4A0 ld2r {v0.8h, v1.8h}, [x5], #0x4 0DFFC555 ld2r {v21.4h, v22.4h}, [x10], #0x4 4DFFCF66 ld2r {v6.2d, v7.2d}, [x27], #0x10 4DFFCD58 ld2r {v24.2d, v25.2d}, [x10], #0x10 0DFFCEEC ld2r {v12.1d, v13.1d}, [x23], #0x10 0DFFCEB3 ld2r {v19.1d, v20.1d}, [x21], #0x10 0DFFC5F2 ld2r {v18.4h, v19.4h}, [x15], #0x4 4DFFC5A7 ld2r {v7.8h, v8.8h}, [x13], #0x4 // LD2R_asisdlsop_RX2_r 0x001101111xxxxx1100xxxxxxxxxxxx 0DF6C6F3 ld2r {v19.4h, v20.4h}, [x23], x22 0DF1CC52 ld2r {v18.1d, v19.1d}, [x2], x17 0DECC979 ld2r {v25.2s, v26.2s}, [x11], x12 0DF3C76A ld2r {v10.4h, v11.4h}, [x27], x19 0DECC776 ld2r {v22.4h, v23.4h}, [x27], x12 4DE9C33D ld2r {v29.16b, v30.16b}, [x25], x9 4DFAC39D ld2r {v29.16b, v30.16b}, [x28], x26 0DF9CCEA ld2r {v10.1d, v11.1d}, [x7], x25 0DFDCEF9 ld2r {v25.1d, v26.1d}, [x23], x29 0DEBC158 ld2r {v24.8b, v25.8b}, [x10], x11 4DE5C1D0 ld2r {v16.16b, v17.16b}, [x14], x5 0DE7C96B ld2r {v11.2s, v12.2s}, [x11], x7 0DF0C1AB ld2r {v11.8b, v12.8b}, [x13], x16 4DE5C5A2 ld2r {v2.8h, v3.8h}, [x13], x5 4DFEC7C7 ld2r {v7.8h, v8.8h}, [x30], x30 0DFAC7AD ld2r {v13.4h, v14.4h}, [x29], x26 // LD2_asisdlse_R2 0x001100010000001000xxxxxxxxxxxx 4C4085A2 ld2 {v2.8h, v3.8h}, [x13] 0C408766 ld2 {v6.4h, v7.4h}, [x27] 0C4084AB ld2 {v11.4h, v12.4h}, [x5] 4C40818B ld2 {v11.16b, v12.16b}, [x12] 4C408702 ld2 {v2.8h, v3.8h}, [x24] 0C408927 ld2 {v7.2s, v8.2s}, [x9] 0C4080E1 ld2 {v1.8b, v2.8b}, [x7] 0C4080FB ld2 {v27.8b, v28.8b}, [x7] 0C408929 ld2 {v9.2s, v10.2s}, [x9] 0C408BC0 ld2 {v0.2s, v1.2s}, [x30] 0C408011 ld2 {v17.8b, v18.8b}, [x0] 4C408537 ld2 {v23.8h, v24.8h}, [x9] 4C408248 ld2 {v8.16b, v9.16b}, [x18] 4C408C1A ld2 {v26.2d, v27.2d}, [x0] 0C4089E1 ld2 {v1.2s, v2.2s}, [x15] 0C408BD3 ld2 {v19.2s, v20.2s}, [x30] // LD2_asisdlsep_I2_i 0x001100110111111000xxxxxxxxxxxx 0CDF8092 ld2 {v18.8b, v19.8b}, [x4], #0x10 4CDF86F7 ld2 {v23.8h, v24.8h}, [x23], #0x20 0CDF8691 ld2 {v17.4h, v18.4h}, [x20], #0x10 4CDF8B1D ld2 {v29.4s, v30.4s}, [x24], #0x20 0CDF8220 ld2 {v0.8b, v1.8b}, [x17], #0x10 0CDF8AB2 ld2 {v18.2s, v19.2s}, [x21], #0x10 4CDF8020 ld2 {v0.16b, v1.16b}, [x1], #0x20 4CDF881B ld2 {v27.4s, v28.4s}, [x0], #0x20 4CDF8511 ld2 {v17.8h, v18.8h}, [x8], #0x20 4CDF81DE ld2 {v30.16b, v31.16b}, [x14], #0x20 4CDF84EA ld2 {v10.8h, v11.8h}, [x7], #0x20 0CDF8A9A ld2 {v26.2s, v27.2s}, [x20], #0x10 0CDF8AD1 ld2 {v17.2s, v18.2s}, [x22], #0x10 0CDF89FC ld2 {v28.2s, v29.2s}, [x15], #0x10 4CDF8F07 ld2 {v7.2d, v8.2d}, [x24], #0x20 4CDF8B9A ld2 {v26.4s, v27.4s}, [x28], #0x20 // LD2_asisdlsep_R2_r 0x001100110xxxxx1000xxxxxxxxxxxx 4CCE8AE1 ld2 {v1.4s, v2.4s}, [x23], x14 4CDD8527 ld2 {v7.8h, v8.8h}, [x9], x29 4CD587DD ld2 {v29.8h, v30.8h}, [x30], x21 4CD38B94 ld2 {v20.4s, v21.4s}, [x28], x19 4CC28891 ld2 {v17.4s, v18.4s}, [x4], x2 0CCB8910 ld2 {v16.2s, v17.2s}, [x8], x11 0CCA8535 ld2 {v21.4h, v22.4h}, [x9], x10 0CC681C1 ld2 {v1.8b, v2.8b}, [x14], x6 4CC387FB ld2 {v27.8h, v28.8h}, [sp], x3 4CC985DD ld2 {v29.8h, v30.8h}, [x14], x9 4CD68096 ld2 {v22.16b, v23.16b}, [x4], x22 4CD382E1 ld2 {v1.16b, v2.16b}, [x23], x19 0CD38AFE ld2 {v30.2s, v31.2s}, [x23], x19 4CDD8735 ld2 {v21.8h, v22.8h}, [x25], x29 0CD08448 ld2 {v8.4h, v9.4h}, [x2], x16 4CD98BE8 ld2 {v8.4s, v9.4s}, [sp], x25 // LD2_asisdlso_B2_2b 0x00110101100000000xxxxxxxxxxxxx 0D6017C7 ld2 {v7.b, v8.b}[5], [x30] 0D600C39 ld2 {v25.b, v26.b}[3], [x1] 0D600744 ld2 {v4.b, v5.b}[1], [x26] 0D6017C0 ld2 {v0.b, v1.b}[5], [x30] 4D6017C6 ld2 {v6.b, v7.b}[13], [x30] 0D6003A8 ld2 {v8.b, v9.b}[0], [x29] 4D600F4F ld2 {v15.b, v16.b}[11], [x26] 0D601297 ld2 {v23.b, v24.b}[4], [x20] 0D6019AE ld2 {v14.b, v15.b}[6], [x13] 0D601502 ld2 {v2.b, v3.b}[5], [x8] 4D600823 ld2 {v3.b, v4.b}[10], [x1] 0D601EAD ld2 {v13.b, v14.b}[7], [x21] 4D601D6E ld2 {v14.b, v15.b}[15], [x11] 4D600F8B ld2 {v11.b, v12.b}[11], [x28] 0D6019A9 ld2 {v9.b, v10.b}[6], [x13] 0D6003D0 ld2 {v16.b, v17.b}[0], [x30] // LD2_asisdlso_D2_2d 0x00110101100000100001xxxxxxxxxx 0D6087A1 ld2 {v1.d, v2.d}[0], [x29] 0D6087F3 ld2 {v19.d, v20.d}[0], [sp] 4D60842F ld2 {v15.d, v16.d}[1], [x1] 4D60872E ld2 {v14.d, v15.d}[1], [x25] 4D6086C5 ld2 {v5.d, v6.d}[1], [x22] 0D608599 ld2 {v25.d, v26.d}[0], [x12] 0D608432 ld2 {v18.d, v19.d}[0], [x1] 0D6085DF ld2 {v31.d, v0.d}[0], [x14] 0D6085C7 ld2 {v7.d, v8.d}[0], [x14] 0D608567 ld2 {v7.d, v8.d}[0], [x11] 4D608433 ld2 {v19.d, v20.d}[1], [x1] 0D608716 ld2 {v22.d, v23.d}[0], [x24] 4D608691 ld2 {v17.d, v18.d}[1], [x20] 0D6084B5 ld2 {v21.d, v22.d}[0], [x5] 0D6086D7 ld2 {v23.d, v24.d}[0], [x22] 0D608791 ld2 {v17.d, v18.d}[0], [x28] // LD2_asisdlso_H2_2h 0x00110101100000010xx0xxxxxxxxxx 0D60525F ld2 {v31.h, v0.h}[2], [x18] 4D60596F ld2 {v15.h, v16.h}[7], [x11] 4D60517F ld2 {v31.h, v0.h}[6], [x11] 0D604BD4 ld2 {v20.h, v21.h}[1], [x30] 0D60518C ld2 {v12.h, v13.h}[2], [x12] 4D604246 ld2 {v6.h, v7.h}[4], [x18] 0D6051C1 ld2 {v1.h, v2.h}[2], [x14] 0D604BB8 ld2 {v24.h, v25.h}[1], [x29] 4D604880 ld2 {v0.h, v1.h}[5], [x4] 4D6058FF ld2 {v31.h, v0.h}[7], [x7] 4D604B46 ld2 {v6.h, v7.h}[5], [x26] 0D6041CB ld2 {v11.h, v12.h}[0], [x14] 0D604261 ld2 {v1.h, v2.h}[0], [x19] 4D605303 ld2 {v3.h, v4.h}[6], [x24] 0D6058DC ld2 {v28.h, v29.h}[3], [x6] 4D604337 ld2 {v23.h, v24.h}[4], [x25] // LD2_asisdlso_S2_2s 0x00110101100000100x00xxxxxxxxxx 4D6093F8 ld2 {v24.s, v25.s}[3], [sp] 4D609236 ld2 {v22.s, v23.s}[3], [x17] 4D608224 ld2 {v4.s, v5.s}[2], [x17] 0D608272 ld2 {v18.s, v19.s}[0], [x19] 4D608151 ld2 {v17.s, v18.s}[2], [x10] 0D6090A2 ld2 {v2.s, v3.s}[1], [x5] 0D609023 ld2 {v3.s, v4.s}[1], [x1] 4D608399 ld2 {v25.s, v26.s}[2], [x28] 0D608274 ld2 {v20.s, v21.s}[0], [x19] 0D609016 ld2 {v22.s, v23.s}[1], [x0] 4D609204 ld2 {v4.s, v5.s}[3], [x16] 4D608362 ld2 {v2.s, v3.s}[2], [x27] 4D6083F3 ld2 {v19.s, v20.s}[2], [sp] 0D609383 ld2 {v3.s, v4.s}[1], [x28] 0D609145 ld2 {v5.s, v6.s}[1], [x10] 0D6082B9 ld2 {v25.s, v26.s}[0], [x21] // LD2_asisdlsop_B2_i2b 0x00110111111111000xxxxxxxxxxxxx 0DFF1185 ld2 {v5.b, v6.b}[4], [x12], #0x2 4DFF13FB ld2 {v27.b, v28.b}[12], [sp], #0x2 4DFF06F4 ld2 {v20.b, v21.b}[9], [x23], #0x2 0DFF0A75 ld2 {v21.b, v22.b}[2], [x19], #0x2 4DFF1969 ld2 {v9.b, v10.b}[14], [x11], #0x2 4DFF09CF ld2 {v15.b, v16.b}[10], [x14], #0x2 4DFF11BA ld2 {v26.b, v27.b}[12], [x13], #0x2 0DFF15CD ld2 {v13.b, v14.b}[5], [x14], #0x2 4DFF196A ld2 {v10.b, v11.b}[14], [x11], #0x2 4DFF1812 ld2 {v18.b, v19.b}[14], [x0], #0x2 0DFF10FF ld2 {v31.b, v0.b}[4], [x7], #0x2 0DFF0AE6 ld2 {v6.b, v7.b}[2], [x23], #0x2 0DFF0809 ld2 {v9.b, v10.b}[2], [x0], #0x2 0DFF1262 ld2 {v2.b, v3.b}[4], [x19], #0x2 0DFF0883 ld2 {v3.b, v4.b}[2], [x4], #0x2 4DFF0B44 ld2 {v4.b, v5.b}[10], [x26], #0x2 // LD2_asisdlsop_BX2_r2b 0x001101111xxxxx000xxxxxxxxxxxxx 0DE91293 ld2 {v19.b, v20.b}[4], [x20], x9 0DEA14C0 ld2 {v0.b, v1.b}[5], [x6], x10 4DE716C5 ld2 {v5.b, v6.b}[13], [x22], x7 0DF61571 ld2 {v17.b, v18.b}[5], [x11], x22 4DFC040B ld2 {v11.b, v12.b}[9], [x0], x28 4DE018A9 ld2 {v9.b, v10.b}[14], [x5], x0 4DF31C43 ld2 {v3.b, v4.b}[15], [x2], x19 4DE1083B ld2 {v27.b, v28.b}[10], [x1], x1 0DEF0E62 ld2 {v2.b, v3.b}[3], [x19], x15 4DF10ED7 ld2 {v23.b, v24.b}[11], [x22], x17 4DE2009F ld2 {v31.b, v0.b}[8], [x4], x2 0DF716C3 ld2 {v3.b, v4.b}[5], [x22], x23 4DEE0E06 ld2 {v6.b, v7.b}[11], [x16], x14 0DFE1B1A ld2 {v26.b, v27.b}[6], [x24], x30 4DFE06E8 ld2 {v8.b, v9.b}[9], [x23], x30 0DEC172B ld2 {v11.b, v12.b}[5], [x25], x12 // LD2_asisdlsop_D2_i2d 0x00110111111111100001xxxxxxxxxx 4DFF851E ld2 {v30.d, v31.d}[1], [x8], #0x10 4DFF8509 ld2 {v9.d, v10.d}[1], [x8], #0x10 4DFF84EC ld2 {v12.d, v13.d}[1], [x7], #0x10 4DFF84C1 ld2 {v1.d, v2.d}[1], [x6], #0x10 0DFF87A0 ld2 {v0.d, v1.d}[0], [x29], #0x10 0DFF875D ld2 {v29.d, v30.d}[0], [x26], #0x10 4DFF87D9 ld2 {v25.d, v26.d}[1], [x30], #0x10 0DFF84B5 ld2 {v21.d, v22.d}[0], [x5], #0x10 0DFF87C5 ld2 {v5.d, v6.d}[0], [x30], #0x10 0DFF85B2 ld2 {v18.d, v19.d}[0], [x13], #0x10 4DFF8779 ld2 {v25.d, v26.d}[1], [x27], #0x10 4DFF842E ld2 {v14.d, v15.d}[1], [x1], #0x10 0DFF8596 ld2 {v22.d, v23.d}[0], [x12], #0x10 4DFF8657 ld2 {v23.d, v24.d}[1], [x18], #0x10 4DFF86CC ld2 {v12.d, v13.d}[1], [x22], #0x10 4DFF87B0 ld2 {v16.d, v17.d}[1], [x29], #0x10 // LD2_asisdlsop_DX2_r2d 0x001101111xxxxx100001xxxxxxxxxx 4DF88697 ld2 {v23.d, v24.d}[1], [x20], x24 0DE786EF ld2 {v15.d, v16.d}[0], [x23], x7 0DE1847D ld2 {v29.d, v30.d}[0], [x3], x1 0DEF853B ld2 {v27.d, v28.d}[0], [x9], x15 0DFE8723 ld2 {v3.d, v4.d}[0], [x25], x30 4DEB854B ld2 {v11.d, v12.d}[1], [x10], x11 4DE5878B ld2 {v11.d, v12.d}[1], [x28], x5 4DFB864B ld2 {v11.d, v12.d}[1], [x18], x27 4DF686FF ld2 {v31.d, v0.d}[1], [x23], x22 0DE48501 ld2 {v1.d, v2.d}[0], [x8], x4 0DEB8776 ld2 {v22.d, v23.d}[0], [x27], x11 4DE38532 ld2 {v18.d, v19.d}[1], [x9], x3 0DE88477 ld2 {v23.d, v24.d}[0], [x3], x8 4DE086F5 ld2 {v21.d, v22.d}[1], [x23], x0 0DF48538 ld2 {v24.d, v25.d}[0], [x9], x20 0DEC84CC ld2 {v12.d, v13.d}[0], [x6], x12 // LD2_asisdlsop_H2_i2h 0x00110111111111010xx0xxxxxxxxxx 0DFF597A ld2 {v26.h, v27.h}[3], [x11], #0x4 0DFF5B6B ld2 {v11.h, v12.h}[3], [x27], #0x4 0DFF4947 ld2 {v7.h, v8.h}[1], [x10], #0x4 0DFF40C9 ld2 {v9.h, v10.h}[0], [x6], #0x4 0DFF50EC ld2 {v12.h, v13.h}[2], [x7], #0x4 0DFF5847 ld2 {v7.h, v8.h}[3], [x2], #0x4 0DFF4A0A ld2 {v10.h, v11.h}[1], [x16], #0x4 0DFF4336 ld2 {v22.h, v23.h}[0], [x25], #0x4 0DFF5AFF ld2 {v31.h, v0.h}[3], [x23], #0x4 0DFF51CB ld2 {v11.h, v12.h}[2], [x14], #0x4 0DFF400E ld2 {v14.h, v15.h}[0], [x0], #0x4 4DFF4B60 ld2 {v0.h, v1.h}[5], [x27], #0x4 0DFF4235 ld2 {v21.h, v22.h}[0], [x17], #0x4 0DFF5890 ld2 {v16.h, v17.h}[3], [x4], #0x4 0DFF423D ld2 {v29.h, v30.h}[0], [x17], #0x4 4DFF4292 ld2 {v18.h, v19.h}[4], [x20], #0x4 // LD2_asisdlsop_HX2_r2h 0x001101111xxxxx010xx0xxxxxxxxxx 4DE85853 ld2 {v19.h, v20.h}[7], [x2], x8 0DF7512E ld2 {v14.h, v15.h}[2], [x9], x23 4DF650D5 ld2 {v21.h, v22.h}[6], [x6], x22 0DED5057 ld2 {v23.h, v24.h}[2], [x2], x13 0DFA49FE ld2 {v30.h, v31.h}[1], [x15], x26 4DE249D1 ld2 {v17.h, v18.h}[5], [x14], x2 0DE9418E ld2 {v14.h, v15.h}[0], [x12], x9 0DE65A11 ld2 {v17.h, v18.h}[3], [x16], x6 4DE752A8 ld2 {v8.h, v9.h}[6], [x21], x7 0DEE4882 ld2 {v2.h, v3.h}[1], [x4], x14 0DEF5317 ld2 {v23.h, v24.h}[2], [x24], x15 4DFA4039 ld2 {v25.h, v26.h}[4], [x1], x26 0DE04925 ld2 {v5.h, v6.h}[1], [x9], x0 0DEE405D ld2 {v29.h, v30.h}[0], [x2], x14 0DEA5220 ld2 {v0.h, v1.h}[2], [x17], x10 4DE55051 ld2 {v17.h, v18.h}[6], [x2], x5 // LD2_asisdlsop_S2_i2s 0x00110111111111100x00xxxxxxxxxx 4DFF836D ld2 {v13.s, v14.s}[2], [x27], #0x8 0DFF92E4 ld2 {v4.s, v5.s}[1], [x23], #0x8 4DFF836C ld2 {v12.s, v13.s}[2], [x27], #0x8 0DFF90C6 ld2 {v6.s, v7.s}[1], [x6], #0x8 0DFF9319 ld2 {v25.s, v26.s}[1], [x24], #0x8 0DFF814E ld2 {v14.s, v15.s}[0], [x10], #0x8 4DFF913F ld2 {v31.s, v0.s}[3], [x9], #0x8 0DFF8393 ld2 {v19.s, v20.s}[0], [x28], #0x8 0DFF9022 ld2 {v2.s, v3.s}[1], [x1], #0x8 4DFF90C7 ld2 {v7.s, v8.s}[3], [x6], #0x8 4DFF8203 ld2 {v3.s, v4.s}[2], [x16], #0x8 0DFF93F7 ld2 {v23.s, v24.s}[1], [sp], #0x8 0DFF9109 ld2 {v9.s, v10.s}[1], [x8], #0x8 4DFF93CC ld2 {v12.s, v13.s}[3], [x30], #0x8 4DFF8230 ld2 {v16.s, v17.s}[2], [x17], #0x8 4DFF817A ld2 {v26.s, v27.s}[2], [x11], #0x8 // LD2_asisdlsop_SX2_r2s 0x001101111xxxxx100x00xxxxxxxxxx 4DE682D3 ld2 {v19.s, v20.s}[2], [x22], x6 4DE68175 ld2 {v21.s, v22.s}[2], [x11], x6 4DEC8014 ld2 {v20.s, v21.s}[2], [x0], x12 4DEB9156 ld2 {v22.s, v23.s}[3], [x10], x11 4DEB92F1 ld2 {v17.s, v18.s}[3], [x23], x11 0DF580DB ld2 {v27.s, v28.s}[0], [x6], x21 0DFA90AC ld2 {v12.s, v13.s}[1], [x5], x26 0DE99077 ld2 {v23.s, v24.s}[1], [x3], x9 0DF880C6 ld2 {v6.s, v7.s}[0], [x6], x24 4DF9918C ld2 {v12.s, v13.s}[3], [x12], x25 0DE890C4 ld2 {v4.s, v5.s}[1], [x6], x8 4DF790F9 ld2 {v25.s, v26.s}[3], [x7], x23 4DF09160 ld2 {v0.s, v1.s}[3], [x11], x16 4DF0936D ld2 {v13.s, v14.s}[3], [x27], x16 4DED8241 ld2 {v1.s, v2.s}[2], [x18], x13 0DFB9307 ld2 {v7.s, v8.s}[1], [x24], x27 // LD3R_asisdlso_R3 0x001101010000001110xxxxxxxxxxxx 0D40ED22 ld3r {v2.1d, v3.1d, v4.1d}, [x9] 4D40EDCF ld3r {v15.2d, v16.2d, v17.2d}, [x14] 0D40ED9D ld3r {v29.1d, v30.1d, v31.1d}, [x12] 0D40E7EB ld3r {v11.4h, v12.4h, v13.4h}, [sp] 0D40E1FE ld3r {v30.8b, v31.8b, v0.8b}, [x15] 0D40E7C0 ld3r {v0.4h, v1.4h, v2.4h}, [x30] 0D40E9FF ld3r {v31.2s, v0.2s, v1.2s}, [x15] 0D40E530 ld3r {v16.4h, v17.4h, v18.4h}, [x9] 4D40E8F8 ld3r {v24.4s, v25.4s, v26.4s}, [x7] 4D40E717 ld3r {v23.8h, v24.8h, v25.8h}, [x24] 4D40EC2C ld3r {v12.2d, v13.2d, v14.2d}, [x1] 4D40EE3D ld3r {v29.2d, v30.2d, v31.2d}, [x17] 0D40E52F ld3r {v15.4h, v16.4h, v17.4h}, [x9] 4D40ED20 ld3r {v0.2d, v1.2d, v2.2d}, [x9] 4D40E033 ld3r {v19.16b, v20.16b, v21.16b}, [x1] 0D40E705 ld3r {v5.4h, v6.4h, v7.4h}, [x24] // LD3R_asisdlsop_R3_i 0x001101110111111110xxxxxxxxxxxx 4DDFE10E ld3r {v14.16b, v15.16b, v16.16b}, [x8], #0x3 0DDFE78C ld3r {v12.4h, v13.4h, v14.4h}, [x28], #0x6 0DDFE31C ld3r {v28.8b, v29.8b, v30.8b}, [x24], #0x3 4DDFE25D ld3r {v29.16b, v30.16b, v31.16b}, [x18], #0x3 0DDFE8A5 ld3r {v5.2s, v6.2s, v7.2s}, [x5], #0xc 4DDFEC83 ld3r {v3.2d, v4.2d, v5.2d}, [x4], #0x18 4DDFE6FE ld3r {v30.8h, v31.8h, v0.8h}, [x23], #0x6 4DDFE510 ld3r {v16.8h, v17.8h, v18.8h}, [x8], #0x6 0DDFE5B4 ld3r {v20.4h, v21.4h, v22.4h}, [x13], #0x6 4DDFEBE4 ld3r {v4.4s, v5.4s, v6.4s}, [sp], #0xc 4DDFEEB2 ld3r {v18.2d, v19.2d, v20.2d}, [x21], #0x18 0DDFE541 ld3r {v1.4h, v2.4h, v3.4h}, [x10], #0x6 4DDFE9E9 ld3r {v9.4s, v10.4s, v11.4s}, [x15], #0xc 0DDFE59D ld3r {v29.4h, v30.4h, v31.4h}, [x12], #0x6 4DDFE3C4 ld3r {v4.16b, v5.16b, v6.16b}, [x30], #0x3 4DDFE572 ld3r {v18.8h, v19.8h, v20.8h}, [x11], #0x6 // LD3R_asisdlsop_RX3_r 0x001101110xxxxx1110xxxxxxxxxxxx 0DCEE8FC ld3r {v28.2s, v29.2s, v30.2s}, [x7], x14 0DCEE785 ld3r {v5.4h, v6.4h, v7.4h}, [x28], x14 4DDEE62B ld3r {v11.8h, v12.8h, v13.8h}, [x17], x30 4DCDE746 ld3r {v6.8h, v7.8h, v8.8h}, [x26], x13 4DD0E7AD ld3r {v13.8h, v14.8h, v15.8h}, [x29], x16 4DD7ED03 ld3r {v3.2d, v4.2d, v5.2d}, [x8], x23 0DD4EADE ld3r {v30.2s, v31.2s, v0.2s}, [x22], x20 4DCBEBCC ld3r {v12.4s, v13.4s, v14.4s}, [x30], x11 4DD2E26E ld3r {v14.16b, v15.16b, v16.16b}, [x19], x18 4DDDEF45 ld3r {v5.2d, v6.2d, v7.2d}, [x26], x29 0DC4EFDB ld3r {v27.1d, v28.1d, v29.1d}, [x30], x4 0DDAEB9D ld3r {v29.2s, v30.2s, v31.2s}, [x28], x26 4DC9EF14 ld3r {v20.2d, v21.2d, v22.2d}, [x24], x9 0DD8E763 ld3r {v3.4h, v4.4h, v5.4h}, [x27], x24 0DDAEDB0 ld3r {v16.1d, v17.1d, v18.1d}, [x13], x26 0DD4EE2E ld3r {v14.1d, v15.1d, v16.1d}, [x17], x20 // LD3_asisdlse_R3 0x001100010000000100xxxxxxxxxxxx 4C4043AC ld3 {v12.16b, v13.16b, v14.16b}, [x29] 4C404DA6 ld3 {v6.2d, v7.2d, v8.2d}, [x13] 4C404FA0 ld3 {v0.2d, v1.2d, v2.2d}, [x29] 4C404785 ld3 {v5.8h, v6.8h, v7.8h}, [x28] 0C40471D ld3 {v29.4h, v30.4h, v31.4h}, [x24] 0C4044A2 ld3 {v2.4h, v3.4h, v4.4h}, [x5] 0C4047C9 ld3 {v9.4h, v10.4h, v11.4h}, [x30] 0C404502 ld3 {v2.4h, v3.4h, v4.4h}, [x8] 0C4040D5 ld3 {v21.8b, v22.8b, v23.8b}, [x6] 4C404919 ld3 {v25.4s, v26.4s, v27.4s}, [x8] 0C40463C ld3 {v28.4h, v29.4h, v30.4h}, [x17] 4C40454E ld3 {v14.8h, v15.8h, v16.8h}, [x10] 0C40414E ld3 {v14.8b, v15.8b, v16.8b}, [x10] 4C40490D ld3 {v13.4s, v14.4s, v15.4s}, [x8] 4C40468C ld3 {v12.8h, v13.8h, v14.8h}, [x20] 0C404150 ld3 {v16.8b, v17.8b, v18.8b}, [x10] // LD3_asisdlsep_I3_i 0x001100110111110100xxxxxxxxxxxx 4CDF47A5 ld3 {v5.8h, v6.8h, v7.8h}, [x29], #0x30 0CDF4A80 ld3 {v0.2s, v1.2s, v2.2s}, [x20], #0x18 0CDF41D4 ld3 {v20.8b, v21.8b, v22.8b}, [x14], #0x18 0CDF4723 ld3 {v3.4h, v4.4h, v5.4h}, [x25], #0x18 0CDF45D0 ld3 {v16.4h, v17.4h, v18.4h}, [x14], #0x18 4CDF496A ld3 {v10.4s, v11.4s, v12.4s}, [x11], #0x30 4CDF4CFC ld3 {v28.2d, v29.2d, v30.2d}, [x7], #0x30 4CDF40EB ld3 {v11.16b, v12.16b, v13.16b}, [x7], #0x30 4CDF4432 ld3 {v18.8h, v19.8h, v20.8h}, [x1], #0x30 4CDF45FC ld3 {v28.8h, v29.8h, v30.8h}, [x15], #0x30 4CDF4214 ld3 {v20.16b, v21.16b, v22.16b}, [x16], #0x30 0CDF46E8 ld3 {v8.4h, v9.4h, v10.4h}, [x23], #0x18 0CDF469C ld3 {v28.4h, v29.4h, v30.4h}, [x20], #0x18 4CDF4987 ld3 {v7.4s, v8.4s, v9.4s}, [x12], #0x30 0CDF40A1 ld3 {v1.8b, v2.8b, v3.8b}, [x5], #0x18 4CDF4633 ld3 {v19.8h, v20.8h, v21.8h}, [x17], #0x30 // LD3_asisdlsep_R3_r 0x001100110xxxxx0100xxxxxxxxxxxx 4CDD481A ld3 {v26.4s, v27.4s, v28.4s}, [x0], x29 4CCD440D ld3 {v13.8h, v14.8h, v15.8h}, [x0], x13 4CD24999 ld3 {v25.4s, v26.4s, v27.4s}, [x12], x18 4CCF4C0F ld3 {v15.2d, v16.2d, v17.2d}, [x0], x15 4CD949F1 ld3 {v17.4s, v18.4s, v19.4s}, [x15], x25 4CC74ED3 ld3 {v19.2d, v20.2d, v21.2d}, [x22], x7 4CDA418D ld3 {v13.16b, v14.16b, v15.16b}, [x12], x26 4CDD4F53 ld3 {v19.2d, v20.2d, v21.2d}, [x26], x29 0CCB46CB ld3 {v11.4h, v12.4h, v13.4h}, [x22], x11 4CC7414B ld3 {v11.16b, v12.16b, v13.16b}, [x10], x7 4CCC4445 ld3 {v5.8h, v6.8h, v7.8h}, [x2], x12 0CCD4B2F ld3 {v15.2s, v16.2s, v17.2s}, [x25], x13 4CD24538 ld3 {v24.8h, v25.8h, v26.8h}, [x9], x18 0CCA4185 ld3 {v5.8b, v6.8b, v7.8b}, [x12], x10 0CD64B4E ld3 {v14.2s, v15.2s, v16.2s}, [x26], x22 0CD84842 ld3 {v2.2s, v3.2s, v4.2s}, [x2], x24 // LD3_asisdlso_B3_3b 0x00110101000000001xxxxxxxxxxxxx 4D4030F0 ld3 {v16.b, v17.b, v18.b}[12], [x7] 4D4033B3 ld3 {v19.b, v20.b, v21.b}[12], [x29] 4D40385D ld3 {v29.b, v30.b, v31.b}[14], [x2] 0D403DCC ld3 {v12.b, v13.b, v14.b}[7], [x14] 4D4038C6 ld3 {v6.b, v7.b, v8.b}[14], [x6] 4D4029BB ld3 {v27.b, v28.b, v29.b}[10], [x13] 0D403874 ld3 {v20.b, v21.b, v22.b}[6], [x3] 4D403F95 ld3 {v21.b, v22.b, v23.b}[15], [x28] 0D403CF1 ld3 {v17.b, v18.b, v19.b}[7], [x7] 4D4022EF ld3 {v15.b, v16.b, v17.b}[8], [x23] 4D402715 ld3 {v21.b, v22.b, v23.b}[9], [x24] 4D403BFC ld3 {v28.b, v29.b, v30.b}[14], [sp] 4D403F22 ld3 {v2.b, v3.b, v4.b}[15], [x25] 4D402D77 ld3 {v23.b, v24.b, v25.b}[11], [x11] 4D40240C ld3 {v12.b, v13.b, v14.b}[9], [x0] 4D403636 ld3 {v22.b, v23.b, v24.b}[13], [x17] // LD3_asisdlso_D3_3d 0x00110101000000101001xxxxxxxxxx 4D40A538 ld3 {v24.d, v25.d, v26.d}[1], [x9] 0D40A6AA ld3 {v10.d, v11.d, v12.d}[0], [x21] 4D40A53A ld3 {v26.d, v27.d, v28.d}[1], [x9] 4D40A43F ld3 {v31.d, v0.d, v1.d}[1], [x1] 4D40A78D ld3 {v13.d, v14.d, v15.d}[1], [x28] 0D40A666 ld3 {v6.d, v7.d, v8.d}[0], [x19] 4D40A627 ld3 {v7.d, v8.d, v9.d}[1], [x17] 4D40A614 ld3 {v20.d, v21.d, v22.d}[1], [x16] 4D40A7E2 ld3 {v2.d, v3.d, v4.d}[1], [sp] 4D40A5CA ld3 {v10.d, v11.d, v12.d}[1], [x14] 0D40A60D ld3 {v13.d, v14.d, v15.d}[0], [x16] 0D40A4B2 ld3 {v18.d, v19.d, v20.d}[0], [x5] 0D40A7D0 ld3 {v16.d, v17.d, v18.d}[0], [x30] 0D40A65E ld3 {v30.d, v31.d, v0.d}[0], [x18] 0D40A67A ld3 {v26.d, v27.d, v28.d}[0], [x19] 0D40A43D ld3 {v29.d, v30.d, v31.d}[0], [x1] // LD3_asisdlso_H3_3h 0x00110101000000011xx0xxxxxxxxxx 4D407B52 ld3 {v18.h, v19.h, v20.h}[7], [x26] 0D40792C ld3 {v12.h, v13.h, v14.h}[3], [x9] 4D40689D ld3 {v29.h, v30.h, v31.h}[5], [x4] 0D406374 ld3 {v20.h, v21.h, v22.h}[0], [x27] 0D4073FA ld3 {v26.h, v27.h, v28.h}[2], [sp] 0D406118 ld3 {v24.h, v25.h, v26.h}[0], [x8] 4D406B3F ld3 {v31.h, v0.h, v1.h}[5], [x25] 0D406A34 ld3 {v20.h, v21.h, v22.h}[1], [x17] 4D407920 ld3 {v0.h, v1.h, v2.h}[7], [x9] 0D406B2F ld3 {v15.h, v16.h, v17.h}[1], [x25] 4D406873 ld3 {v19.h, v20.h, v21.h}[5], [x3] 4D406BA8 ld3 {v8.h, v9.h, v10.h}[5], [x29] 4D406183 ld3 {v3.h, v4.h, v5.h}[4], [x12] 0D4070BA ld3 {v26.h, v27.h, v28.h}[2], [x5] 4D406BC7 ld3 {v7.h, v8.h, v9.h}[5], [x30] 0D4068EA ld3 {v10.h, v11.h, v12.h}[1], [x7] // LD3_asisdlso_S3_3s 0x00110101000000101x00xxxxxxxxxx 4D40B13A ld3 {v26.s, v27.s, v28.s}[3], [x9] 0D40B199 ld3 {v25.s, v26.s, v27.s}[1], [x12] 0D40A07F ld3 {v31.s, v0.s, v1.s}[0], [x3] 4D40B3EC ld3 {v12.s, v13.s, v14.s}[3], [sp] 4D40A0D0 ld3 {v16.s, v17.s, v18.s}[2], [x6] 4D40B155 ld3 {v21.s, v22.s, v23.s}[3], [x10] 4D40B2AB ld3 {v11.s, v12.s, v13.s}[3], [x21] 4D40B342 ld3 {v2.s, v3.s, v4.s}[3], [x26] 4D40A35B ld3 {v27.s, v28.s, v29.s}[2], [x26] 0D40B140 ld3 {v0.s, v1.s, v2.s}[1], [x10] 0D40A062 ld3 {v2.s, v3.s, v4.s}[0], [x3] 4D40A320 ld3 {v0.s, v1.s, v2.s}[2], [x25] 4D40A27F ld3 {v31.s, v0.s, v1.s}[2], [x19] 4D40A3BE ld3 {v30.s, v31.s, v0.s}[2], [x29] 4D40A155 ld3 {v21.s, v22.s, v23.s}[2], [x10] 0D40A220 ld3 {v0.s, v1.s, v2.s}[0], [x17] // LD3_asisdlsop_B3_i3b 0x00110111011111001xxxxxxxxxxxxx 0DDF22E4 ld3 {v4.b, v5.b, v6.b}[0], [x23], #0x3 0DDF330B ld3 {v11.b, v12.b, v13.b}[4], [x24], #0x3 4DDF359A ld3 {v26.b, v27.b, v28.b}[13], [x12], #0x3 4DDF3956 ld3 {v22.b, v23.b, v24.b}[14], [x10], #0x3 0DDF2F2D ld3 {v13.b, v14.b, v15.b}[3], [x25], #0x3 0DDF3418 ld3 {v24.b, v25.b, v26.b}[5], [x0], #0x3 4DDF2418 ld3 {v24.b, v25.b, v26.b}[9], [x0], #0x3 4DDF3A63 ld3 {v3.b, v4.b, v5.b}[14], [x19], #0x3 4DDF2DBD ld3 {v29.b, v30.b, v31.b}[11], [x13], #0x3 4DDF31FD ld3 {v29.b, v30.b, v31.b}[12], [x15], #0x3 0DDF2BE2 ld3 {v2.b, v3.b, v4.b}[2], [sp], #0x3 0DDF2484 ld3 {v4.b, v5.b, v6.b}[1], [x4], #0x3 0DDF25D4 ld3 {v20.b, v21.b, v22.b}[1], [x14], #0x3 0DDF266F ld3 {v15.b, v16.b, v17.b}[1], [x19], #0x3 4DDF353F ld3 {v31.b, v0.b, v1.b}[13], [x9], #0x3 0DDF3503 ld3 {v3.b, v4.b, v5.b}[5], [x8], #0x3 // LD3_asisdlsop_BX3_r3b 0x001101110xxxxx001xxxxxxxxxxxxx 0DCD2EF1 ld3 {v17.b, v18.b, v19.b}[3], [x23], x13 0DDA3B9E ld3 {v30.b, v31.b, v0.b}[6], [x28], x26 4DD42F95 ld3 {v21.b, v22.b, v23.b}[11], [x28], x20 4DDD21BE ld3 {v30.b, v31.b, v0.b}[8], [x13], x29 4DCD3549 ld3 {v9.b, v10.b, v11.b}[13], [x10], x13 4DC62EE4 ld3 {v4.b, v5.b, v6.b}[11], [x23], x6 0DC631EA ld3 {v10.b, v11.b, v12.b}[4], [x15], x6 0DC42617 ld3 {v23.b, v24.b, v25.b}[1], [x16], x4 4DCA2BC3 ld3 {v3.b, v4.b, v5.b}[10], [x30], x10 0DD721C2 ld3 {v2.b, v3.b, v4.b}[0], [x14], x23 0DCC3012 ld3 {v18.b, v19.b, v20.b}[4], [x0], x12 4DC33ED7 ld3 {v23.b, v24.b, v25.b}[15], [x22], x3 4DD72C49 ld3 {v9.b, v10.b, v11.b}[11], [x2], x23 0DC633D1 ld3 {v17.b, v18.b, v19.b}[4], [x30], x6 4DDA303F ld3 {v31.b, v0.b, v1.b}[12], [x1], x26 0DD537DE ld3 {v30.b, v31.b, v0.b}[5], [x30], x21 // LD3_asisdlsop_D3_i3d 0x00110111011111101001xxxxxxxxxx 0DDFA53D ld3 {v29.d, v30.d, v31.d}[0], [x9], #0x18 0DDFA55C ld3 {v28.d, v29.d, v30.d}[0], [x10], #0x18 4DDFA4DB ld3 {v27.d, v28.d, v29.d}[1], [x6], #0x18 0DDFA56F ld3 {v15.d, v16.d, v17.d}[0], [x11], #0x18 0DDFA6C4 ld3 {v4.d, v5.d, v6.d}[0], [x22], #0x18 0DDFA510 ld3 {v16.d, v17.d, v18.d}[0], [x8], #0x18 4DDFA703 ld3 {v3.d, v4.d, v5.d}[1], [x24], #0x18 0DDFA789 ld3 {v9.d, v10.d, v11.d}[0], [x28], #0x18 0DDFA5F4 ld3 {v20.d, v21.d, v22.d}[0], [x15], #0x18 0DDFA428 ld3 {v8.d, v9.d, v10.d}[0], [x1], #0x18 4DDFA4B3 ld3 {v19.d, v20.d, v21.d}[1], [x5], #0x18 4DDFA59D ld3 {v29.d, v30.d, v31.d}[1], [x12], #0x18 4DDFA4F3 ld3 {v19.d, v20.d, v21.d}[1], [x7], #0x18 4DDFA57D ld3 {v29.d, v30.d, v31.d}[1], [x11], #0x18 0DDFA703 ld3 {v3.d, v4.d, v5.d}[0], [x24], #0x18 0DDFA76A ld3 {v10.d, v11.d, v12.d}[0], [x27], #0x18 // LD3_asisdlsop_DX3_r3d 0x001101110xxxxx101001xxxxxxxxxx 4DC1A79C ld3 {v28.d, v29.d, v30.d}[1], [x28], x1 0DD8A76D ld3 {v13.d, v14.d, v15.d}[0], [x27], x24 0DDAA622 ld3 {v2.d, v3.d, v4.d}[0], [x17], x26 0DD0A7A3 ld3 {v3.d, v4.d, v5.d}[0], [x29], x16 0DD4A67B ld3 {v27.d, v28.d, v29.d}[0], [x19], x20 0DC4A5D5 ld3 {v21.d, v22.d, v23.d}[0], [x14], x4 0DD9A460 ld3 {v0.d, v1.d, v2.d}[0], [x3], x25 4DC5A75A ld3 {v26.d, v27.d, v28.d}[1], [x26], x5 4DD2A6A1 ld3 {v1.d, v2.d, v3.d}[1], [x21], x18 0DC6A4F1 ld3 {v17.d, v18.d, v19.d}[0], [x7], x6 4DDAA751 ld3 {v17.d, v18.d, v19.d}[1], [x26], x26 4DC2A73E ld3 {v30.d, v31.d, v0.d}[1], [x25], x2 4DCAA57C ld3 {v28.d, v29.d, v30.d}[1], [x11], x10 4DD9A78B ld3 {v11.d, v12.d, v13.d}[1], [x28], x25 0DC6A7C5 ld3 {v5.d, v6.d, v7.d}[0], [x30], x6 4DC0A5C9 ld3 {v9.d, v10.d, v11.d}[1], [x14], x0 // LD3_asisdlsop_H3_i3h 0x00110111011111011xx0xxxxxxxxxx 4DDF7930 ld3 {v16.h, v17.h, v18.h}[7], [x9], #0x6 4DDF79F8 ld3 {v24.h, v25.h, v26.h}[7], [x15], #0x6 0DDF691A ld3 {v26.h, v27.h, v28.h}[1], [x8], #0x6 0DDF7096 ld3 {v22.h, v23.h, v24.h}[2], [x4], #0x6 0DDF7984 ld3 {v4.h, v5.h, v6.h}[3], [x12], #0x6 4DDF6BAD ld3 {v13.h, v14.h, v15.h}[5], [x29], #0x6 0DDF70A0 ld3 {v0.h, v1.h, v2.h}[2], [x5], #0x6 0DDF739B ld3 {v27.h, v28.h, v29.h}[2], [x28], #0x6 0DDF6022 ld3 {v2.h, v3.h, v4.h}[0], [x1], #0x6 0DDF60B1 ld3 {v17.h, v18.h, v19.h}[0], [x5], #0x6 4DDF6802 ld3 {v2.h, v3.h, v4.h}[5], [x0], #0x6 0DDF708E ld3 {v14.h, v15.h, v16.h}[2], [x4], #0x6 4DDF7325 ld3 {v5.h, v6.h, v7.h}[6], [x25], #0x6 0DDF6866 ld3 {v6.h, v7.h, v8.h}[1], [x3], #0x6 0DDF622E ld3 {v14.h, v15.h, v16.h}[0], [x17], #0x6 4DDF70FE ld3 {v30.h, v31.h, v0.h}[6], [x7], #0x6 // LD3_asisdlsop_HX3_r3h 0x001101110xxxxx011xx0xxxxxxxxxx 4DCF720C ld3 {v12.h, v13.h, v14.h}[6], [x16], x15 4DC76AFB ld3 {v27.h, v28.h, v29.h}[5], [x23], x7 4DC07193 ld3 {v19.h, v20.h, v21.h}[6], [x12], x0 0DDD604F ld3 {v15.h, v16.h, v17.h}[0], [x2], x29 0DD760B6 ld3 {v22.h, v23.h, v24.h}[0], [x5], x23 0DC4690C ld3 {v12.h, v13.h, v14.h}[1], [x8], x4 4DC3699E ld3 {v30.h, v31.h, v0.h}[5], [x12], x3 4DD57367 ld3 {v7.h, v8.h, v9.h}[6], [x27], x21 4DC470AE ld3 {v14.h, v15.h, v16.h}[6], [x5], x4 0DDD7905 ld3 {v5.h, v6.h, v7.h}[3], [x8], x29 4DC673C1 ld3 {v1.h, v2.h, v3.h}[6], [x30], x6 0DDB7060 ld3 {v0.h, v1.h, v2.h}[2], [x3], x27 4DDD7187 ld3 {v7.h, v8.h, v9.h}[6], [x12], x29 4DD1781C ld3 {v28.h, v29.h, v30.h}[7], [x0], x17 0DCF6A80 ld3 {v0.h, v1.h, v2.h}[1], [x20], x15 4DD3737A ld3 {v26.h, v27.h, v28.h}[6], [x27], x19 // LD3_asisdlsop_S3_i3s 0x00110111011111101x00xxxxxxxxxx 4DDFA13D ld3 {v29.s, v30.s, v31.s}[2], [x9], #0xc 4DDFB02A ld3 {v10.s, v11.s, v12.s}[3], [x1], #0xc 4DDFA21C ld3 {v28.s, v29.s, v30.s}[2], [x16], #0xc 4DDFB141 ld3 {v1.s, v2.s, v3.s}[3], [x10], #0xc 0DDFB37D ld3 {v29.s, v30.s, v31.s}[1], [x27], #0xc 4DDFB397 ld3 {v23.s, v24.s, v25.s}[3], [x28], #0xc 4DDFB17C ld3 {v28.s, v29.s, v30.s}[3], [x11], #0xc 0DDFA06A ld3 {v10.s, v11.s, v12.s}[0], [x3], #0xc 0DDFA3BC ld3 {v28.s, v29.s, v30.s}[0], [x29], #0xc 4DDFB286 ld3 {v6.s, v7.s, v8.s}[3], [x20], #0xc 4DDFA3AF ld3 {v15.s, v16.s, v17.s}[2], [x29], #0xc 4DDFB1F3 ld3 {v19.s, v20.s, v21.s}[3], [x15], #0xc 4DDFB142 ld3 {v2.s, v3.s, v4.s}[3], [x10], #0xc 4DDFA148 ld3 {v8.s, v9.s, v10.s}[2], [x10], #0xc 4DDFA308 ld3 {v8.s, v9.s, v10.s}[2], [x24], #0xc 4DDFA204 ld3 {v4.s, v5.s, v6.s}[2], [x16], #0xc // LD3_asisdlsop_SX3_r3s 0x001101110xxxxx101x00xxxxxxxxxx 0DD7A0B2 ld3 {v18.s, v19.s, v20.s}[0], [x5], x23 4DC0A23D ld3 {v29.s, v30.s, v31.s}[2], [x17], x0 4DCAB218 ld3 {v24.s, v25.s, v26.s}[3], [x16], x10 0DD9A3AD ld3 {v13.s, v14.s, v15.s}[0], [x29], x25 4DD7A3E1 ld3 {v1.s, v2.s, v3.s}[2], [sp], x23 0DD2A105 ld3 {v5.s, v6.s, v7.s}[0], [x8], x18 4DC1B1A2 ld3 {v2.s, v3.s, v4.s}[3], [x13], x1 0DDCA230 ld3 {v16.s, v17.s, v18.s}[0], [x17], x28 4DCAB279 ld3 {v25.s, v26.s, v27.s}[3], [x19], x10 4DC1A005 ld3 {v5.s, v6.s, v7.s}[2], [x0], x1 0DC4B28E ld3 {v14.s, v15.s, v16.s}[1], [x20], x4 4DCCB2E8 ld3 {v8.s, v9.s, v10.s}[3], [x23], x12 0DD9A35F ld3 {v31.s, v0.s, v1.s}[0], [x26], x25 0DD2A1E1 ld3 {v1.s, v2.s, v3.s}[0], [x15], x18 0DCFA145 ld3 {v5.s, v6.s, v7.s}[0], [x10], x15 4DCBB1B9 ld3 {v25.s, v26.s, v27.s}[3], [x13], x11 // LD4R_asisdlso_R4 0x001101011000001110xxxxxxxxxxxx 0D60E583 ld4r {v3.4h, v4.4h, v5.4h, v6.4h}, [x12] 0D60E9AF ld4r {v15.2s, v16.2s, v17.2s, v18.2s}, [x13] 0D60E447 ld4r {v7.4h, v8.4h, v9.4h, v10.4h}, [x2] 4D60E6B6 ld4r {v22.8h, v23.8h, v24.8h, v25.8h}, [x21] 4D60E16A ld4r {v10.16b, v11.16b, v12.16b, v13.16b}, [x11] 0D60E8A0 ld4r {v0.2s, v1.2s, v2.2s, v3.2s}, [x5] 0D60ED1F ld4r {v31.1d, v0.1d, v1.1d, v2.1d}, [x8] 4D60ED9F ld4r {v31.2d, v0.2d, v1.2d, v2.2d}, [x12] 4D60E4EF ld4r {v15.8h, v16.8h, v17.8h, v18.8h}, [x7] 4D60E1EB ld4r {v11.16b, v12.16b, v13.16b, v14.16b}, [x15] 0D60E32A ld4r {v10.8b, v11.8b, v12.8b, v13.8b}, [x25] 0D60E28D ld4r {v13.8b, v14.8b, v15.8b, v16.8b}, [x20] 0D60E7C4 ld4r {v4.4h, v5.4h, v6.4h, v7.4h}, [x30] 4D60EFE3 ld4r {v3.2d, v4.2d, v5.2d, v6.2d}, [sp] 4D60E773 ld4r {v19.8h, v20.8h, v21.8h, v22.8h}, [x27] 0D60E954 ld4r {v20.2s, v21.2s, v22.2s, v23.2s}, [x10] // LD4R_asisdlsop_R4_i 0x001101111111111110xxxxxxxxxxxx 4DFFE25C ld4r {v28.16b, v29.16b, v30.16b, v31.16b}, [x18], #0x4 4DFFEECB ld4r {v11.2d, v12.2d, v13.2d, v14.2d}, [x22], #0x20 4DFFE8EB ld4r {v11.4s, v12.4s, v13.4s, v14.4s}, [x7], #0x10 0DFFE845 ld4r {v5.2s, v6.2s, v7.2s, v8.2s}, [x2], #0x10 0DFFE36F ld4r {v15.8b, v16.8b, v17.8b, v18.8b}, [x27], #0x4 0DFFE9FE ld4r {v30.2s, v31.2s, v0.2s, v1.2s}, [x15], #0x10 4DFFE926 ld4r {v6.4s, v7.4s, v8.4s, v9.4s}, [x9], #0x10 4DFFE906 ld4r {v6.4s, v7.4s, v8.4s, v9.4s}, [x8], #0x10 0DFFE507 ld4r {v7.4h, v8.4h, v9.4h, v10.4h}, [x8], #0x8 0DFFEDF3 ld4r {v19.1d, v20.1d, v21.1d, v22.1d}, [x15], #0x20 4DFFE376 ld4r {v22.16b, v23.16b, v24.16b, v25.16b}, [x27], #0x4 0DFFE67A ld4r {v26.4h, v27.4h, v28.4h, v29.4h}, [x19], #0x8 0DFFED04 ld4r {v4.1d, v5.1d, v6.1d, v7.1d}, [x8], #0x20 0DFFEDB4 ld4r {v20.1d, v21.1d, v22.1d, v23.1d}, [x13], #0x20 0DFFE5CF ld4r {v15.4h, v16.4h, v17.4h, v18.4h}, [x14], #0x8 4DFFE009 ld4r {v9.16b, v10.16b, v11.16b, v12.16b}, [x0], #0x4 // LD4R_asisdlsop_RX4_r 0x001101111xxxxx1110xxxxxxxxxxxx 0DEAE5A8 ld4r {v8.4h, v9.4h, v10.4h, v11.4h}, [x13], x10 4DF1E7C8 ld4r {v8.8h, v9.8h, v10.8h, v11.8h}, [x30], x17 4DF3E431 ld4r {v17.8h, v18.8h, v19.8h, v20.8h}, [x1], x19 0DF1E277 ld4r {v23.8b, v24.8b, v25.8b, v26.8b}, [x19], x17 0DE5ED52 ld4r {v18.1d, v19.1d, v20.1d, v21.1d}, [x10], x5 4DE9EFE2 ld4r {v2.2d, v3.2d, v4.2d, v5.2d}, [sp], x9 4DF6E012 ld4r {v18.16b, v19.16b, v20.16b, v21.16b}, [x0], x22 0DE0E578 ld4r {v24.4h, v25.4h, v26.4h, v27.4h}, [x11], x0 4DF8EED7 ld4r {v23.2d, v24.2d, v25.2d, v26.2d}, [x22], x24 0DE0ECB3 ld4r {v19.1d, v20.1d, v21.1d, v22.1d}, [x5], x0 0DF2E7BB ld4r {v27.4h, v28.4h, v29.4h, v30.4h}, [x29], x18 0DFCE27C ld4r {v28.8b, v29.8b, v30.8b, v31.8b}, [x19], x28 0DFEE147 ld4r {v7.8b, v8.8b, v9.8b, v10.8b}, [x10], x30 0DE2EFC8 ld4r {v8.1d, v9.1d, v10.1d, v11.1d}, [x30], x2 4DFDEC23 ld4r {v3.2d, v4.2d, v5.2d, v6.2d}, [x1], x29 4DF0E55A ld4r {v26.8h, v27.8h, v28.8h, v29.8h}, [x10], x16 // LD4_asisdlse_R4 0x001100010000000000xxxxxxxxxxxx 0C40097D ld4 {v29.2s, v30.2s, v31.2s, v0.2s}, [x11] 0C4005DF ld4 {v31.4h, v0.4h, v1.4h, v2.4h}, [x14] 4C400751 ld4 {v17.8h, v18.8h, v19.8h, v20.8h}, [x26] 0C400093 ld4 {v19.8b, v20.8b, v21.8b, v22.8b}, [x4] 4C400AF4 ld4 {v20.4s, v21.4s, v22.4s, v23.4s}, [x23] 4C400DE8 ld4 {v8.2d, v9.2d, v10.2d, v11.2d}, [x15] 4C400758 ld4 {v24.8h, v25.8h, v26.8h, v27.8h}, [x26] 0C4001DA ld4 {v26.8b, v27.8b, v28.8b, v29.8b}, [x14] 0C4000EA ld4 {v10.8b, v11.8b, v12.8b, v13.8b}, [x7] 0C40015B ld4 {v27.8b, v28.8b, v29.8b, v30.8b}, [x10] 4C4005B8 ld4 {v24.8h, v25.8h, v26.8h, v27.8h}, [x13] 4C4004C0 ld4 {v0.8h, v1.8h, v2.8h, v3.8h}, [x6] 4C400098 ld4 {v24.16b, v25.16b, v26.16b, v27.16b}, [x4] 0C400AF4 ld4 {v20.2s, v21.2s, v22.2s, v23.2s}, [x23] 4C4000CD ld4 {v13.16b, v14.16b, v15.16b, v16.16b}, [x6] 4C400C98 ld4 {v24.2d, v25.2d, v26.2d, v27.2d}, [x4] // LD4_asisdlsep_I4_i 0x001100110111110000xxxxxxxxxxxx 4CDF0D02 ld4 {v2.2d, v3.2d, v4.2d, v5.2d}, [x8], #0x40 4CDF0FE0 ld4 {v0.2d, v1.2d, v2.2d, v3.2d}, [sp], #0x40 0CDF047C ld4 {v28.4h, v29.4h, v30.4h, v31.4h}, [x3], #0x20 0CDF0A92 ld4 {v18.2s, v19.2s, v20.2s, v21.2s}, [x20], #0x20 4CDF0F54 ld4 {v20.2d, v21.2d, v22.2d, v23.2d}, [x26], #0x40 4CDF04A5 ld4 {v5.8h, v6.8h, v7.8h, v8.8h}, [x5], #0x40 0CDF05C8 ld4 {v8.4h, v9.4h, v10.4h, v11.4h}, [x14], #0x20 4CDF0920 ld4 {v0.4s, v1.4s, v2.4s, v3.4s}, [x9], #0x40 0CDF0A5C ld4 {v28.2s, v29.2s, v30.2s, v31.2s}, [x18], #0x20 4CDF047E ld4 {v30.8h, v31.8h, v0.8h, v1.8h}, [x3], #0x40 4CDF0653 ld4 {v19.8h, v20.8h, v21.8h, v22.8h}, [x18], #0x40 4CDF0233 ld4 {v19.16b, v20.16b, v21.16b, v22.16b}, [x17], #0x40 4CDF0723 ld4 {v3.8h, v4.8h, v5.8h, v6.8h}, [x25], #0x40 0CDF0210 ld4 {v16.8b, v17.8b, v18.8b, v19.8b}, [x16], #0x20 4CDF014C ld4 {v12.16b, v13.16b, v14.16b, v15.16b}, [x10], #0x40 0CDF0A17 ld4 {v23.2s, v24.2s, v25.2s, v26.2s}, [x16], #0x20 // LD4_asisdlsep_R4_r 0x001100110xxxxx0000xxxxxxxxxxxx 0CDD0023 ld4 {v3.8b, v4.8b, v5.8b, v6.8b}, [x1], x29 0CC908E2 ld4 {v2.2s, v3.2s, v4.2s, v5.2s}, [x7], x9 4CCB0D2B ld4 {v11.2d, v12.2d, v13.2d, v14.2d}, [x9], x11 4CC60CEC ld4 {v12.2d, v13.2d, v14.2d, v15.2d}, [x7], x6 0CD800BA ld4 {v26.8b, v27.8b, v28.8b, v29.8b}, [x5], x24 4CCA0E93 ld4 {v19.2d, v20.2d, v21.2d, v22.2d}, [x20], x10 0CC80328 ld4 {v8.8b, v9.8b, v10.8b, v11.8b}, [x25], x8 4CC20950 ld4 {v16.4s, v17.4s, v18.4s, v19.4s}, [x10], x2 4CCA081F ld4 {v31.4s, v0.4s, v1.4s, v2.4s}, [x0], x10 4CC2087F ld4 {v31.4s, v0.4s, v1.4s, v2.4s}, [x3], x2 4CDB049D ld4 {v29.8h, v30.8h, v31.8h, v0.8h}, [x4], x27 0CC3001B ld4 {v27.8b, v28.8b, v29.8b, v30.8b}, [x0], x3 0CD307B1 ld4 {v17.4h, v18.4h, v19.4h, v20.4h}, [x29], x19 4CC60033 ld4 {v19.16b, v20.16b, v21.16b, v22.16b}, [x1], x6 0CC708CC ld4 {v12.2s, v13.2s, v14.2s, v15.2s}, [x6], x7 4CC40EB2 ld4 {v18.2d, v19.2d, v20.2d, v21.2d}, [x21], x4 // LD4_asisdlso_B4_4b 0x00110101100000001xxxxxxxxxxxxx 0D602FEA ld4 {v10.b, v11.b, v12.b, v13.b}[3], [sp] 4D603320 ld4 {v0.b, v1.b, v2.b, v3.b}[12], [x25] 4D60377B ld4 {v27.b, v28.b, v29.b, v30.b}[13], [x27] 0D6026C0 ld4 {v0.b, v1.b, v2.b, v3.b}[1], [x22] 4D602B68 ld4 {v8.b, v9.b, v10.b, v11.b}[10], [x27] 4D60331A ld4 {v26.b, v27.b, v28.b, v29.b}[12], [x24] 4D6025AF ld4 {v15.b, v16.b, v17.b, v18.b}[9], [x13] 4D602EDF ld4 {v31.b, v0.b, v1.b, v2.b}[11], [x22] 0D6023BE ld4 {v30.b, v31.b, v0.b, v1.b}[0], [x29] 0D603797 ld4 {v23.b, v24.b, v25.b, v26.b}[5], [x28] 0D602D26 ld4 {v6.b, v7.b, v8.b, v9.b}[3], [x9] 0D602505 ld4 {v5.b, v6.b, v7.b, v8.b}[1], [x8] 4D602CDA ld4 {v26.b, v27.b, v28.b, v29.b}[11], [x6] 0D602326 ld4 {v6.b, v7.b, v8.b, v9.b}[0], [x25] 0D603DA2 ld4 {v2.b, v3.b, v4.b, v5.b}[7], [x13] 0D603F3C ld4 {v28.b, v29.b, v30.b, v31.b}[7], [x25] // LD4_asisdlso_D4_4d 0x00110101100000101001xxxxxxxxxx 0D60A7AE ld4 {v14.d, v15.d, v16.d, v17.d}[0], [x29] 4D60A602 ld4 {v2.d, v3.d, v4.d, v5.d}[1], [x16] 0D60A6B9 ld4 {v25.d, v26.d, v27.d, v28.d}[0], [x21] 4D60A434 ld4 {v20.d, v21.d, v22.d, v23.d}[1], [x1] 0D60A522 ld4 {v2.d, v3.d, v4.d, v5.d}[0], [x9] 0D60A46E ld4 {v14.d, v15.d, v16.d, v17.d}[0], [x3] 0D60A6D2 ld4 {v18.d, v19.d, v20.d, v21.d}[0], [x22] 4D60A442 ld4 {v2.d, v3.d, v4.d, v5.d}[1], [x2] 0D60A740 ld4 {v0.d, v1.d, v2.d, v3.d}[0], [x26] 4D60A6EE ld4 {v14.d, v15.d, v16.d, v17.d}[1], [x23] 0D60A7DC ld4 {v28.d, v29.d, v30.d, v31.d}[0], [x30] 4D60A455 ld4 {v21.d, v22.d, v23.d, v24.d}[1], [x2] 0D60A5CF ld4 {v15.d, v16.d, v17.d, v18.d}[0], [x14] 0D60A5B0 ld4 {v16.d, v17.d, v18.d, v19.d}[0], [x13] 4D60A7FA ld4 {v26.d, v27.d, v28.d, v29.d}[1], [sp] 4D60A7D8 ld4 {v24.d, v25.d, v26.d, v27.d}[1], [x30] // LD4_asisdlso_H4_4h 0x00110101100000011xx0xxxxxxxxxx 4D6061B7 ld4 {v23.h, v24.h, v25.h, v26.h}[4], [x13] 4D606B40 ld4 {v0.h, v1.h, v2.h, v3.h}[5], [x26] 0D607397 ld4 {v23.h, v24.h, v25.h, v26.h}[2], [x28] 0D6078CB ld4 {v11.h, v12.h, v13.h, v14.h}[3], [x6] 0D6069EB ld4 {v11.h, v12.h, v13.h, v14.h}[1], [x15] 4D607324 ld4 {v4.h, v5.h, v6.h, v7.h}[6], [x25] 0D6062B5 ld4 {v21.h, v22.h, v23.h, v24.h}[0], [x21] 4D607028 ld4 {v8.h, v9.h, v10.h, v11.h}[6], [x1] 4D607B2F ld4 {v15.h, v16.h, v17.h, v18.h}[7], [x25] 0D6060F2 ld4 {v18.h, v19.h, v20.h, v21.h}[0], [x7] 4D60606C ld4 {v12.h, v13.h, v14.h, v15.h}[4], [x3] 0D60718B ld4 {v11.h, v12.h, v13.h, v14.h}[2], [x12] 4D60602A ld4 {v10.h, v11.h, v12.h, v13.h}[4], [x1] 0D6070F9 ld4 {v25.h, v26.h, v27.h, v28.h}[2], [x7] 4D607B00 ld4 {v0.h, v1.h, v2.h, v3.h}[7], [x24] 4D607145 ld4 {v5.h, v6.h, v7.h, v8.h}[6], [x10] // LD4_asisdlso_S4_4s 0x00110101100000101x00xxxxxxxxxx 4D60B27D ld4 {v29.s, v30.s, v31.s, v0.s}[3], [x19] 0D60A259 ld4 {v25.s, v26.s, v27.s, v28.s}[0], [x18] 0D60B309 ld4 {v9.s, v10.s, v11.s, v12.s}[1], [x24] 0D60B395 ld4 {v21.s, v22.s, v23.s, v24.s}[1], [x28] 0D60B2C5 ld4 {v5.s, v6.s, v7.s, v8.s}[1], [x22] 0D60B1B9 ld4 {v25.s, v26.s, v27.s, v28.s}[1], [x13] 0D60B2C8 ld4 {v8.s, v9.s, v10.s, v11.s}[1], [x22] 0D60B240 ld4 {v0.s, v1.s, v2.s, v3.s}[1], [x18] 4D60B11D ld4 {v29.s, v30.s, v31.s, v0.s}[3], [x8] 4D60B05D ld4 {v29.s, v30.s, v31.s, v0.s}[3], [x2] 4D60B36D ld4 {v13.s, v14.s, v15.s, v16.s}[3], [x27] 4D60B3BC ld4 {v28.s, v29.s, v30.s, v31.s}[3], [x29] 4D60A0EF ld4 {v15.s, v16.s, v17.s, v18.s}[2], [x7] 4D60B16D ld4 {v13.s, v14.s, v15.s, v16.s}[3], [x11] 0D60A2D9 ld4 {v25.s, v26.s, v27.s, v28.s}[0], [x22] 4D60B39F ld4 {v31.s, v0.s, v1.s, v2.s}[3], [x28] // LD4_asisdlsop_B4_i4b 0x00110111111111001xxxxxxxxxxxxx 4DFF26E4 ld4 {v4.b, v5.b, v6.b, v7.b}[9], [x23], #0x4 4DFF34C0 ld4 {v0.b, v1.b, v2.b, v3.b}[13], [x6], #0x4 4DFF382C ld4 {v12.b, v13.b, v14.b, v15.b}[14], [x1], #0x4 0DFF2523 ld4 {v3.b, v4.b, v5.b, v6.b}[1], [x9], #0x4 0DFF38EC ld4 {v12.b, v13.b, v14.b, v15.b}[6], [x7], #0x4 0DFF23A6 ld4 {v6.b, v7.b, v8.b, v9.b}[0], [x29], #0x4 4DFF3E77 ld4 {v23.b, v24.b, v25.b, v26.b}[15], [x19], #0x4 0DFF2F76 ld4 {v22.b, v23.b, v24.b, v25.b}[3], [x27], #0x4 0DFF3B0F ld4 {v15.b, v16.b, v17.b, v18.b}[6], [x24], #0x4 0DFF2307 ld4 {v7.b, v8.b, v9.b, v10.b}[0], [x24], #0x4 0DFF35C0 ld4 {v0.b, v1.b, v2.b, v3.b}[5], [x14], #0x4 4DFF2088 ld4 {v8.b, v9.b, v10.b, v11.b}[8], [x4], #0x4 4DFF2B8C ld4 {v12.b, v13.b, v14.b, v15.b}[10], [x28], #0x4 0DFF31C1 ld4 {v1.b, v2.b, v3.b, v4.b}[4], [x14], #0x4 4DFF39B0 ld4 {v16.b, v17.b, v18.b, v19.b}[14], [x13], #0x4 4DFF3171 ld4 {v17.b, v18.b, v19.b, v20.b}[12], [x11], #0x4 // LD4_asisdlsop_BX4_r4b 0x001101111xxxxx001xxxxxxxxxxxxx 4DF03B65 ld4 {v5.b, v6.b, v7.b, v8.b}[14], [x27], x16 4DF12040 ld4 {v0.b, v1.b, v2.b, v3.b}[8], [x2], x17 4DFC2FCE ld4 {v14.b, v15.b, v16.b, v17.b}[11], [x30], x28 4DF32872 ld4 {v18.b, v19.b, v20.b, v21.b}[10], [x3], x19 0DFB3470 ld4 {v16.b, v17.b, v18.b, v19.b}[5], [x3], x27 4DEA2FA8 ld4 {v8.b, v9.b, v10.b, v11.b}[11], [x29], x10 0DF929FF ld4 {v31.b, v0.b, v1.b, v2.b}[2], [x15], x25 4DF425A6 ld4 {v6.b, v7.b, v8.b, v9.b}[9], [x13], x20 0DED3EB4 ld4 {v20.b, v21.b, v22.b, v23.b}[7], [x21], x13 4DE73114 ld4 {v20.b, v21.b, v22.b, v23.b}[12], [x8], x7 4DFB319B ld4 {v27.b, v28.b, v29.b, v30.b}[12], [x12], x27 0DF027DC ld4 {v28.b, v29.b, v30.b, v31.b}[1], [x30], x16 0DE13758 ld4 {v24.b, v25.b, v26.b, v27.b}[5], [x26], x1 4DE230F8 ld4 {v24.b, v25.b, v26.b, v27.b}[12], [x7], x2 0DF63278 ld4 {v24.b, v25.b, v26.b, v27.b}[4], [x19], x22 4DFB272F ld4 {v15.b, v16.b, v17.b, v18.b}[9], [x25], x27 // LD4_asisdlsop_D4_i4d 0x00110111111111101001xxxxxxxxxx 0DFFA6E5 ld4 {v5.d, v6.d, v7.d, v8.d}[0], [x23], #0x20 0DFFA499 ld4 {v25.d, v26.d, v27.d, v28.d}[0], [x4], #0x20 4DFFA75B ld4 {v27.d, v28.d, v29.d, v30.d}[1], [x26], #0x20 4DFFA7F8 ld4 {v24.d, v25.d, v26.d, v27.d}[1], [sp], #0x20 4DFFA73D ld4 {v29.d, v30.d, v31.d, v0.d}[1], [x25], #0x20 0DFFA48A ld4 {v10.d, v11.d, v12.d, v13.d}[0], [x4], #0x20 4DFFA56C ld4 {v12.d, v13.d, v14.d, v15.d}[1], [x11], #0x20 0DFFA7CB ld4 {v11.d, v12.d, v13.d, v14.d}[0], [x30], #0x20 4DFFA788 ld4 {v8.d, v9.d, v10.d, v11.d}[1], [x28], #0x20 4DFFA5C4 ld4 {v4.d, v5.d, v6.d, v7.d}[1], [x14], #0x20 4DFFA448 ld4 {v8.d, v9.d, v10.d, v11.d}[1], [x2], #0x20 4DFFA409 ld4 {v9.d, v10.d, v11.d, v12.d}[1], [x0], #0x20 4DFFA444 ld4 {v4.d, v5.d, v6.d, v7.d}[1], [x2], #0x20 0DFFA720 ld4 {v0.d, v1.d, v2.d, v3.d}[0], [x25], #0x20 4DFFA5F5 ld4 {v21.d, v22.d, v23.d, v24.d}[1], [x15], #0x20 4DFFA588 ld4 {v8.d, v9.d, v10.d, v11.d}[1], [x12], #0x20 // LD4_asisdlsop_DX4_r4d 0x001101111xxxxx101001xxxxxxxxxx 0DEDA4A1 ld4 {v1.d, v2.d, v3.d, v4.d}[0], [x5], x13 4DF9A481 ld4 {v1.d, v2.d, v3.d, v4.d}[1], [x4], x25 4DE8A5D5 ld4 {v21.d, v22.d, v23.d, v24.d}[1], [x14], x8 4DF2A7BB ld4 {v27.d, v28.d, v29.d, v30.d}[1], [x29], x18 0DF9A78E ld4 {v14.d, v15.d, v16.d, v17.d}[0], [x28], x25 0DE0A66E ld4 {v14.d, v15.d, v16.d, v17.d}[0], [x19], x0 0DFAA7C5 ld4 {v5.d, v6.d, v7.d, v8.d}[0], [x30], x26 4DE0A40B ld4 {v11.d, v12.d, v13.d, v14.d}[1], [x0], x0 4DE0A64D ld4 {v13.d, v14.d, v15.d, v16.d}[1], [x18], x0 0DF3A7CE ld4 {v14.d, v15.d, v16.d, v17.d}[0], [x30], x19 0DF8A57B ld4 {v27.d, v28.d, v29.d, v30.d}[0], [x11], x24 4DE2A4F5 ld4 {v21.d, v22.d, v23.d, v24.d}[1], [x7], x2 0DE1A6C8 ld4 {v8.d, v9.d, v10.d, v11.d}[0], [x22], x1 4DF8A783 ld4 {v3.d, v4.d, v5.d, v6.d}[1], [x28], x24 4DE7A5A9 ld4 {v9.d, v10.d, v11.d, v12.d}[1], [x13], x7 4DFDA525 ld4 {v5.d, v6.d, v7.d, v8.d}[1], [x9], x29 // LD4_asisdlsop_H4_i4h 0x00110111111111011xx0xxxxxxxxxx 4DFF73DD ld4 {v29.h, v30.h, v31.h, v0.h}[6], [x30], #0x8 4DFF7BE2 ld4 {v2.h, v3.h, v4.h, v5.h}[7], [sp], #0x8 4DFF61E7 ld4 {v7.h, v8.h, v9.h, v10.h}[4], [x15], #0x8 4DFF6B0C ld4 {v12.h, v13.h, v14.h, v15.h}[5], [x24], #0x8 0DFF729E ld4 {v30.h, v31.h, v0.h, v1.h}[2], [x20], #0x8 0DFF6918 ld4 {v24.h, v25.h, v26.h, v27.h}[1], [x8], #0x8 0DFF7350 ld4 {v16.h, v17.h, v18.h, v19.h}[2], [x26], #0x8 0DFF7116 ld4 {v22.h, v23.h, v24.h, v25.h}[2], [x8], #0x8 4DFF6803 ld4 {v3.h, v4.h, v5.h, v6.h}[5], [x0], #0x8 4DFF6A01 ld4 {v1.h, v2.h, v3.h, v4.h}[5], [x16], #0x8 0DFF63BC ld4 {v28.h, v29.h, v30.h, v31.h}[0], [x29], #0x8 4DFF6242 ld4 {v2.h, v3.h, v4.h, v5.h}[4], [x18], #0x8 0DFF79A1 ld4 {v1.h, v2.h, v3.h, v4.h}[3], [x13], #0x8 0DFF7B48 ld4 {v8.h, v9.h, v10.h, v11.h}[3], [x26], #0x8 4DFF6BDB ld4 {v27.h, v28.h, v29.h, v30.h}[5], [x30], #0x8 4DFF7246 ld4 {v6.h, v7.h, v8.h, v9.h}[6], [x18], #0x8 // LD4_asisdlsop_HX4_r4h 0x001101111xxxxx011xx0xxxxxxxxxx 0DF3793B ld4 {v27.h, v28.h, v29.h, v30.h}[3], [x9], x19 0DEE7B0B ld4 {v11.h, v12.h, v13.h, v14.h}[3], [x24], x14 4DE46AC3 ld4 {v3.h, v4.h, v5.h, v6.h}[5], [x22], x4 0DF76940 ld4 {v0.h, v1.h, v2.h, v3.h}[1], [x10], x23 0DF47258 ld4 {v24.h, v25.h, v26.h, v27.h}[2], [x18], x20 4DFE6090 ld4 {v16.h, v17.h, v18.h, v19.h}[4], [x4], x30 4DFB7873 ld4 {v19.h, v20.h, v21.h, v22.h}[7], [x3], x27 4DFB70F0 ld4 {v16.h, v17.h, v18.h, v19.h}[6], [x7], x27 0DFB6A50 ld4 {v16.h, v17.h, v18.h, v19.h}[1], [x18], x27 4DE869EE ld4 {v14.h, v15.h, v16.h, v17.h}[5], [x15], x8 0DFE7960 ld4 {v0.h, v1.h, v2.h, v3.h}[3], [x11], x30 0DEE6BAF ld4 {v15.h, v16.h, v17.h, v18.h}[1], [x29], x14 4DED618A ld4 {v10.h, v11.h, v12.h, v13.h}[4], [x12], x13 4DFA7831 ld4 {v17.h, v18.h, v19.h, v20.h}[7], [x1], x26 4DE3785F ld4 {v31.h, v0.h, v1.h, v2.h}[7], [x2], x3 4DFC6B55 ld4 {v21.h, v22.h, v23.h, v24.h}[5], [x26], x28 // LD4_asisdlsop_S4_i4s 0x00110111111111101x00xxxxxxxxxx 4DFFA10D ld4 {v13.s, v14.s, v15.s, v16.s}[2], [x8], #0x10 4DFFB0F7 ld4 {v23.s, v24.s, v25.s, v26.s}[3], [x7], #0x10 4DFFA2EC ld4 {v12.s, v13.s, v14.s, v15.s}[2], [x23], #0x10 0DFFA29A ld4 {v26.s, v27.s, v28.s, v29.s}[0], [x20], #0x10 4DFFA222 ld4 {v2.s, v3.s, v4.s, v5.s}[2], [x17], #0x10 4DFFB334 ld4 {v20.s, v21.s, v22.s, v23.s}[3], [x25], #0x10 0DFFB18E ld4 {v14.s, v15.s, v16.s, v17.s}[1], [x12], #0x10 4DFFB32B ld4 {v11.s, v12.s, v13.s, v14.s}[3], [x25], #0x10 0DFFB378 ld4 {v24.s, v25.s, v26.s, v27.s}[1], [x27], #0x10 4DFFA3AE ld4 {v14.s, v15.s, v16.s, v17.s}[2], [x29], #0x10 0DFFB33C ld4 {v28.s, v29.s, v30.s, v31.s}[1], [x25], #0x10 0DFFB148 ld4 {v8.s, v9.s, v10.s, v11.s}[1], [x10], #0x10 4DFFB349 ld4 {v9.s, v10.s, v11.s, v12.s}[3], [x26], #0x10 4DFFB2EC ld4 {v12.s, v13.s, v14.s, v15.s}[3], [x23], #0x10 0DFFA0AA ld4 {v10.s, v11.s, v12.s, v13.s}[0], [x5], #0x10 0DFFA225 ld4 {v5.s, v6.s, v7.s, v8.s}[0], [x17], #0x10 // LD4_asisdlsop_SX4_r4s 0x001101111xxxxx101x00xxxxxxxxxx 0DEEA179 ld4 {v25.s, v26.s, v27.s, v28.s}[0], [x11], x14 4DE5B3CC ld4 {v12.s, v13.s, v14.s, v15.s}[3], [x30], x5 4DF1A042 ld4 {v2.s, v3.s, v4.s, v5.s}[2], [x2], x17 4DFCA0FD ld4 {v29.s, v30.s, v31.s, v0.s}[2], [x7], x28 0DFCA083 ld4 {v3.s, v4.s, v5.s, v6.s}[0], [x4], x28 0DE1B0F4 ld4 {v20.s, v21.s, v22.s, v23.s}[1], [x7], x1 0DF5A033 ld4 {v19.s, v20.s, v21.s, v22.s}[0], [x1], x21 4DFCB145 ld4 {v5.s, v6.s, v7.s, v8.s}[3], [x10], x28 4DE2B277 ld4 {v23.s, v24.s, v25.s, v26.s}[3], [x19], x2 4DF2B087 ld4 {v7.s, v8.s, v9.s, v10.s}[3], [x4], x18 0DEAB3B2 ld4 {v18.s, v19.s, v20.s, v21.s}[1], [x29], x10 0DF1B14F ld4 {v15.s, v16.s, v17.s, v18.s}[1], [x10], x17 4DF9A0AA ld4 {v10.s, v11.s, v12.s, v13.s}[2], [x5], x25 4DE3A083 ld4 {v3.s, v4.s, v5.s, v6.s}[2], [x4], x3 0DF2A2D6 ld4 {v22.s, v23.s, v24.s, v25.s}[0], [x22], x18 0DFDB112 ld4 {v18.s, v19.s, v20.s, v21.s}[1], [x8], x29 // LDADDAB_32_memop 00111000101xxxxx000000xxxxxxxxxx 38AD03C7 ldaddab w13, w7, [x30] 38A00396 ldaddab w0, w22, [x28] 38B20257 ldaddab w18, w23, [x18] 38AB0272 ldaddab w11, w18, [x19] 38BA02C2 ldaddab w26, w2, [x22] 38AF0048 ldaddab w15, w8, [x2] 38AA0228 ldaddab w10, w8, [x17] 38AC0132 ldaddab w12, w18, [x9] 38AC0015 ldaddab w12, w21, [x0] 38BE02AA ldaddab w30, w10, [x21] 38BA028A ldaddab w26, w10, [x20] 38BF000D ldaddab wzr, w13, [x0] 38A80080 ldaddab w8, w0, [x4] 38B7032C ldaddab w23, w12, [x25] 38B200E8 ldaddab w18, w8, [x7] 38B8010F ldaddab w24, w15, [x8] // LDADDAH_32_memop 01111000101xxxxx000000xxxxxxxxxx 78A90170 ldaddah w9, w16, [x11] 78AE0390 ldaddah w14, w16, [x28] 78AF02BE ldaddah w15, w30, [x21] 78B102D7 ldaddah w17, w23, [x22] 78A70256 ldaddah w7, w22, [x18] 78A40126 ldaddah w4, w6, [x9] 78A8021D ldaddah w8, w29, [x16] 78AB011C ldaddah w11, w28, [x8] 78BB03B4 ldaddah w27, w20, [x29] 78AC017A ldaddah w12, w26, [x11] 78AE0234 ldaddah w14, w20, [x17] 78BD0357 ldaddah w29, w23, [x26] 78BD0327 ldaddah w29, w7, [x25] 78BF0371 ldaddah wzr, w17, [x27] 78A203F3 ldaddah w2, w19, [sp] 78BE000F ldaddah w30, w15, [x0] // LDADDALB_32_memop 00111000111xxxxx000000xxxxxxxxxx 38EE01C2 ldaddalb w14, w2, [x14] 38E00218 ldaddalb w0, w24, [x16] 38EE0312 ldaddalb w14, w18, [x24] 38F9031C ldaddalb w25, w28, [x24] 38F903F3 ldaddalb w25, w19, [sp] 38EA030F ldaddalb w10, w15, [x24] 38EA014A ldaddalb w10, w10, [x10] 38F2038C ldaddalb w18, w12, [x28] 38FB02E5 ldaddalb w27, w5, [x23] 38FA00A1 ldaddalb w26, w1, [x5] 38FD03F5 ldaddalb w29, w21, [sp] 38FA02EA ldaddalb w26, w10, [x23] 38F50326 ldaddalb w21, w6, [x25] 38EC017A ldaddalb w12, w26, [x11] 38FC0245 ldaddalb w28, w5, [x18] 38E90222 ldaddalb w9, w2, [x17] // LDADDALH_32_memop 01111000111xxxxx000000xxxxxxxxxx 78F503FE ldaddalh w21, w30, [sp] 78F8027F ldaddalh w24, wzr, [x19] 78FB02F7 ldaddalh w27, w23, [x23] 78FC01FE ldaddalh w28, w30, [x15] 78E50049 ldaddalh w5, w9, [x2] 78E9003D ldaddalh w9, w29, [x1] 78F0016E ldaddalh w16, w14, [x11] 78EE02BF ldaddalh w14, wzr, [x21] 78E30147 ldaddalh w3, w7, [x10] 78F30127 ldaddalh w19, w7, [x9] 78F00248 ldaddalh w16, w8, [x18] 78FE0354 ldaddalh w30, w20, [x26] 78EB0160 ldaddalh w11, w0, [x11] 78E803ED ldaddalh w8, w13, [sp] 78E500E8 ldaddalh w5, w8, [x7] 78E80245 ldaddalh w8, w5, [x18] // LDADDAL_32_memop 10111000111xxxxx000000xxxxxxxxxx B8F100ED ldaddal w17, w13, [x7] B8E7007B ldaddal w7, w27, [x3] B8F50005 ldaddal w21, w5, [x0] B8E200FC ldaddal w2, w28, [x7] B8E00215 ldaddal w0, w21, [x16] B8FF0170 ldaddal wzr, w16, [x11] B8FD0150 ldaddal w29, w16, [x10] B8F6019E ldaddal w22, w30, [x12] B8F500BC ldaddal w21, w28, [x5] B8F1009D ldaddal w17, w29, [x4] B8EE012B ldaddal w14, w11, [x9] B8F80043 ldaddal w24, w3, [x2] B8FE01A7 ldaddal w30, w7, [x13] B8E30202 ldaddal w3, w2, [x16] B8F5024C ldaddal w21, w12, [x18] B8FF035C ldaddal wzr, w28, [x26] // LDADDAL_64_memop 11111000111xxxxx000000xxxxxxxxxx F8E00025 ldaddal x0, x5, [x1] F8E601AD ldaddal x6, x13, [x13] F8EA0244 ldaddal x10, x4, [x18] F8EC00A2 ldaddal x12, x2, [x5] F8EB0233 ldaddal x11, x19, [x17] F8EC02FC ldaddal x12, x28, [x23] F8E602C7 ldaddal x6, x7, [x22] F8EA0115 ldaddal x10, x21, [x8] F8F801E3 ldaddal x24, x3, [x15] F8F30306 ldaddal x19, x6, [x24] F8F60277 ldaddal x22, x23, [x19] F8FA0126 ldaddal x26, x6, [x9] F8E701A9 ldaddal x7, x9, [x13] F8E801AA ldaddal x8, x10, [x13] F8F601A5 ldaddal x22, x5, [x13] F8EB00B3 ldaddal x11, x19, [x5] // LDADDA_32_memop 10111000101xxxxx000000xxxxxxxxxx B8A90084 ldadda w9, w4, [x4] B8B1037D ldadda w17, w29, [x27] B8A500E9 ldadda w5, w9, [x7] B8AC0336 ldadda w12, w22, [x25] B8B3016C ldadda w19, w12, [x11] B8B0014E ldadda w16, w14, [x10] B8B50085 ldadda w21, w5, [x4] B8B6020E ldadda w22, w14, [x16] B8A201B8 ldadda w2, w24, [x13] B8B302F7 ldadda w19, w23, [x23] B8BA009C ldadda w26, w28, [x4] B8BE0064 ldadda w30, w4, [x3] B8BC029C ldadda w28, w28, [x20] B8B9011D ldadda w25, w29, [x8] B8A902C1 ldadda w9, w1, [x22] B8BB0353 ldadda w27, w19, [x26] // LDADDA_64_memop 11111000101xxxxx000000xxxxxxxxxx F8A502C9 ldadda x5, x9, [x22] F8A60082 ldadda x6, x2, [x4] F8A00319 ldadda x0, x25, [x24] F8A103F4 ldadda x1, x20, [sp] F8BB0290 ldadda x27, x16, [x20] F8BF020F ldadda xzr, x15, [x16] F8A90028 ldadda x9, x8, [x1] F8B20237 ldadda x18, x23, [x17] F8A2035A ldadda x2, x26, [x26] F8AB00C6 ldadda x11, x6, [x6] F8BB01CC ldadda x27, x12, [x14] F8BC0024 ldadda x28, x4, [x1] F8B602A9 ldadda x22, x9, [x21] F8A00029 ldadda x0, x9, [x1] F8A3030A ldadda x3, x10, [x24] F8AC03C1 ldadda x12, x1, [x30] // LDADDB_32_memop 00111000001xxxxx000000xxxxxxxxxx 38300158 ldaddb w16, w24, [x10] 38240360 ldaddb w4, w0, [x27] 382902A7 ldaddb w9, w7, [x21] 3828017E ldaddb w8, w30, [x11] 3824026D ldaddb w4, w13, [x19] 382201DD ldaddb w2, w29, [x14] 38240376 ldaddb w4, w22, [x27] 382803BD ldaddb w8, w29, [x29] 383B0243 ldaddb w27, w3, [x18] 383D0060 ldaddb w29, w0, [x3] 383503DB ldaddb w21, w27, [x30] 383D0239 ldaddb w29, w25, [x17] 383B02EB ldaddb w27, w11, [x23] 38290115 ldaddb w9, w21, [x8] 383B0269 ldaddb w27, w9, [x19] 382E00CB ldaddb w14, w11, [x6] // LDADDH_32_memop 01111000001xxxxx000000xxxxxxxxxx 783E037C ldaddh w30, w28, [x27] 78340305 ldaddh w20, w5, [x24] 7827038D ldaddh w7, w13, [x28] 7832022C ldaddh w18, w12, [x17] 78310083 ldaddh w17, w3, [x4] 78280189 ldaddh w8, w9, [x12] 782802C7 ldaddh w8, w7, [x22] 782503D7 ldaddh w5, w23, [x30] 78370086 ldaddh w23, w6, [x4] 78390034 ldaddh w25, w20, [x1] 78320086 ldaddh w18, w6, [x4] 783403F2 ldaddh w20, w18, [sp] 78250097 ldaddh w5, w23, [x4] 782D0119 ldaddh w13, w25, [x8] 7838024A ldaddh w24, w10, [x18] 78290010 ldaddh w9, w16, [x0] // LDADDLB_32_memop 00111000011xxxxx000000xxxxxxxxxx 386900B8 ldaddlb w9, w24, [x5] 38630169 ldaddlb w3, w9, [x11] 387D0367 ldaddlb w29, w7, [x27] 38680164 ldaddlb w8, w4, [x11] 387E01A2 ldaddlb w30, w2, [x13] 3873030B ldaddlb w19, w11, [x24] 3869022F ldaddlb w9, w15, [x17] 387401E7 ldaddlb w20, w7, [x15] 38740089 ldaddlb w20, w9, [x4] 3878038D ldaddlb w24, w13, [x28] 3870028C ldaddlb w16, w12, [x20] 38650120 ldaddlb w5, w0, [x9] 387501D2 ldaddlb w21, w18, [x14] 38720273 ldaddlb w18, w19, [x19] 387E018A ldaddlb w30, w10, [x12] 387F02F8 ldaddlb wzr, w24, [x23] // LDADDLH_32_memop 01111000011xxxxx000000xxxxxxxxxx 78710032 ldaddlh w17, w18, [x1] 78700028 ldaddlh w16, w8, [x1] 78720381 ldaddlh w18, w1, [x28] 786B0328 ldaddlh w11, w8, [x25] 786B0027 ldaddlh w11, w7, [x1] 78750388 ldaddlh w21, w8, [x28] 78700055 ldaddlh w16, w21, [x2] 7866032E ldaddlh w6, w14, [x25] 787802E1 ldaddlh w24, w1, [x23] 786C00A8 ldaddlh w12, w8, [x5] 7860014D ldaddlh w0, w13, [x10] 787802D0 ldaddlh w24, w16, [x22] 7867032F ldaddlh w7, w15, [x25] 786B03E4 ldaddlh w11, w4, [sp] 787301DB ldaddlh w19, w27, [x14] 787B021A ldaddlh w27, w26, [x16] // LDADDL_32_memop 10111000011xxxxx000000xxxxxxxxxx B8680086 ldaddl w8, w6, [x4] B86F0397 ldaddl w15, w23, [x28] B8720007 ldaddl w18, w7, [x0] B86B0331 ldaddl w11, w17, [x25] B86E0103 ldaddl w14, w3, [x8] B86F0192 ldaddl w15, w18, [x12] B8730245 ldaddl w19, w5, [x18] B87500F8 ldaddl w21, w24, [x7] B869006C ldaddl w9, w12, [x3] B87500D8 ldaddl w21, w24, [x6] B86F01C0 ldaddl w15, w0, [x14] B8760379 ldaddl w22, w25, [x27] B8680391 ldaddl w8, w17, [x28] B87C0169 ldaddl w28, w9, [x11] B87702C9 ldaddl w23, w9, [x22] B863039B ldaddl w3, w27, [x28] // LDADDL_64_memop 11111000011xxxxx000000xxxxxxxxxx F8730351 ldaddl x19, x17, [x26] F8640291 ldaddl x4, x17, [x20] F8770036 ldaddl x23, x22, [x1] F86903C6 ldaddl x9, x6, [x30] F8620014 ldaddl x2, x20, [x0] F8610353 ldaddl x1, x19, [x26] F87600FE ldaddl x22, x30, [x7] F86C01E9 ldaddl x12, x9, [x15] F86300AF ldaddl x3, x15, [x5] F86F0307 ldaddl x15, x7, [x24] F879000E ldaddl x25, x14, [x0] F872026A ldaddl x18, x10, [x19] F860009B ldaddl x0, x27, [x4] F8740280 ldaddl x20, x0, [x20] F87A017C ldaddl x26, x28, [x11] F86903E5 ldaddl x9, x5, [sp] // LDADD_32_memop 10111000001xxxxx000000xxxxxxxxxx B83803AB ldadd w24, w11, [x29] B8310196 ldadd w17, w22, [x12] B83800B0 ldadd w24, w16, [x5] B821021A ldadd w1, w26, [x16] B8320084 ldadd w18, w4, [x4] B82602EE ldadd w6, w14, [x23] B839013D ldadd w25, w29, [x9] B82401F6 ldadd w4, w22, [x15] B823020D ldadd w3, w13, [x16] B83000E0 ldadd w16, w0, [x7] B8350237 ldadd w21, w23, [x17] B82501E8 ldadd w5, w8, [x15] B8290336 ldadd w9, w22, [x25] B82101F7 ldadd w1, w23, [x15] B83E0324 ldadd w30, w4, [x25] B83501F1 ldadd w21, w17, [x15] // LDADD_64_memop 11111000001xxxxx000000xxxxxxxxxx F82400B8 ldadd x4, x24, [x5] F83900E4 ldadd x25, x4, [x7] F8300114 ldadd x16, x20, [x8] F82F038E ldadd x15, x14, [x28] F82603AA ldadd x6, x10, [x29] F83B027B ldadd x27, x27, [x19] F83B02E0 ldadd x27, x0, [x23] F82D035D ldadd x13, x29, [x26] F8370138 ldadd x23, x24, [x9] F83F0115 ldadd xzr, x21, [x8] F83B0200 ldadd x27, x0, [x16] F82803E6 ldadd x8, x6, [sp] F82202EE ldadd x2, x14, [x23] F839032E ldadd x25, x14, [x25] F83B03BA ldadd x27, x26, [x29] F8350035 ldadd x21, x21, [x1] // LDAPRB_32L_memop 00111000101xxxxx110000xxxxxxxxxx 38BFC132 ldaprb w18, [x9] 38BFC3C2 ldaprb w2, [x30] 38BFC2C6 ldaprb w6, [x22] 38BFC22C ldaprb w12, [x17] 38BFC2C0 ldaprb w0, [x22] 38BFC357 ldaprb w23, [x26] 38BFC2D2 ldaprb w18, [x22] 38BFC358 ldaprb w24, [x26] 38BFC291 ldaprb w17, [x20] 38BFC0FC ldaprb w28, [x7] 38BFC361 ldaprb w1, [x27] 38BFC38B ldaprb w11, [x28] 38BFC378 ldaprb w24, [x27] 38BFC0DD ldaprb w29, [x6] 38BFC1BE ldaprb w30, [x13] 38BFC0C6 ldaprb w6, [x6] // LDAPRH_32L_memop 01111000101xxxxx110000xxxxxxxxxx 78BFC253 ldaprh w19, [x18] 78BFC230 ldaprh w16, [x17] 78BFC1C1 ldaprh w1, [x14] 78BFC182 ldaprh w2, [x12] 78BFC3C0 ldaprh w0, [x30] 78BFC0D4 ldaprh w20, [x6] 78BFC228 ldaprh w8, [x17] 78BFC047 ldaprh w7, [x2] 78BFC0CE ldaprh w14, [x6] 78BFC2A4 ldaprh w4, [x21] 78BFC08D ldaprh w13, [x4] 78BFC1F1 ldaprh w17, [x15] 78BFC07E ldaprh w30, [x3] 78BFC38A ldaprh w10, [x28] 78BFC2D4 ldaprh w20, [x22] 78BFC170 ldaprh w16, [x11] // LDAPR_32L_memop 10111000101xxxxx110000xxxxxxxxxx B8BFC17E ldapr w30, [x11] B8BFC06B ldapr w11, [x3] B8BFC0E4 ldapr w4, [x7] B8BFC10A ldapr w10, [x8] B8BFC0B9 ldapr w25, [x5] B8BFC19D ldapr w29, [x12] B8BFC29C ldapr w28, [x20] B8BFC3CC ldapr w12, [x30] B8BFC187 ldapr w7, [x12] B8BFC34D ldapr w13, [x26] B8BFC131 ldapr w17, [x9] B8BFC057 ldapr w23, [x2] B8BFC224 ldapr w4, [x17] B8BFC31A ldapr w26, [x24] B8BFC334 ldapr w20, [x25] B8BFC0C3 ldapr w3, [x6] // LDAPR_64L_memop 11111000101xxxxx110000xxxxxxxxxx F8BFC058 ldapr x24, [x2] F8BFC165 ldapr x5, [x11] F8BFC0CE ldapr x14, [x6] F8BFC2C7 ldapr x7, [x22] F8BFC176 ldapr x22, [x11] F8BFC056 ldapr x22, [x2] F8BFC2E6 ldapr x6, [x23] F8BFC21C ldapr x28, [x16] F8BFC280 ldapr x0, [x20] F8BFC2DA ldapr x26, [x22] F8BFC09C ldapr x28, [x4] F8BFC005 ldapr x5, [x0] F8BFC0FE ldapr x30, [x7] F8BFC13D ldapr x29, [x9] F8BFC004 ldapr x4, [x0] F8BFC01F ldapr xzr, [x0] // LDAPURB_32_ldapstl_unscaled 0001100101xxxxxxxxxxxxxxxxxxxxxx 1941F2C5 ldapurb w5, [x22, #0x1f] 195C7125 ldapurb w5, [x9, #-0x39] 194D40CC ldapurb w12, [x6, #0xd4] 195ED1ED ldapurb w13, [x15, #-0x13] 195FD3D5 ldapurb w21, [x30, #-0x3] 194EF247 ldapurb w7, [x18, #0xef] 19494084 ldapurb w4, [x4, #0x94] 1946B100 ldapurb w0, [x8, #0x6b] 1955A11E ldapurb w30, [x8, #-0xa6] 194B7144 ldapurb w4, [x10, #0xb7] 1949939E ldapurb w30, [x28, #0x99] 195B73F4 ldapurb w20, [sp, #-0x49] 195EE124 ldapurb w4, [x9, #-0x12] 194F6340 ldapurb w0, [x26, #0xf6] 1947528C ldapurb w12, [x20, #0x75] 1956A31A ldapurb w26, [x24, #-0x96] // LDAPURH_32_ldapstl_unscaled 0101100101xxxxxxxxxxxxxxxxxxxxxx 595DA1E9 ldapurh w9, [x15, #-0x26] 595902B4 ldapurh w20, [x21, #-0x70] 595DE043 ldapurh w3, [x2, #-0x22] 595E4349 ldapurh w9, [x26, #-0x1c] 59412124 ldapurh w4, [x9, #0x12] 594FE3A1 ldapurh w1, [x29, #0xfe] 5946C238 ldapurh w24, [x17, #0x6c] 594842E3 ldapurh w3, [x23, #0x84] 5959B1E9 ldapurh w9, [x15, #-0x65] 594E3248 ldapurh w8, [x18, #0xe3] 594FC02C ldapurh w12, [x1, #0xfc] 5957A37B ldapurh w27, [x27, #-0x86] 594472F0 ldapurh w16, [x23, #0x47] 594561F0 ldapurh w16, [x15, #0x56] 594EF298 ldapurh w24, [x20, #0xef] 5946B2C4 ldapurh w4, [x22, #0x6b] // LDAPURSB_32_ldapstl_unscaled 0001100111xxxxxxxxxxxxxxxxxxxxxx 19CE71D5 ldapursb w21, [x14, #0xe7] 19D56047 ldapursb w7, [x2, #-0xaa] 19DBC024 ldapursb w4, [x1, #-0x44] 19C6D347 ldapursb w7, [x26, #0x6d] 19D8618F ldapursb w15, [x12, #-0x7a] 19C743BC ldapursb w28, [x29, #0x74] 19CB40CC ldapursb w12, [x6, #0xb4] 19DFA247 ldapursb w7, [x18, #-0x6] 19DDA28D ldapursb w13, [x20, #-0x26] 19C9C2F7 ldapursb w23, [x23, #0x9c] 19C07027 ldapursb w7, [x1, #0x7] 19C8924F ldapursb w15, [x18, #0x89] 19DBD1C2 ldapursb w2, [x14, #-0x43] 19DAD39F ldapursb wzr, [x28, #-0x53] 19C3E1B7 ldapursb w23, [x13, #0x3e] 19DAD0DD ldapursb w29, [x6, #-0x53] // LDAPURSB_64_ldapstl_unscaled 0001100110xxxxxxxxxxxxxxxxxxxxxx 199F8195 ldapursb x21, [x12, #-0x8] 198530B7 ldapursb x23, [x5, #0x53] 1980F228 ldapursb x8, [x17, #0xf] 199A71F7 ldapursb x23, [x15, #-0x59] 1995F18C ldapursb x12, [x12, #-0xa1] 198E305D ldapursb x29, [x2, #0xe3] 1985207A ldapursb x26, [x3, #0x52] 199531F3 ldapursb x19, [x15, #-0xad] 199050DC ldapursb x28, [x6, #-0xfb] 1982208A ldapursb x10, [x4, #0x22] 198B2092 ldapursb x18, [x4, #0xb2] 1994231A ldapursb x26, [x24, #-0xbe] 198753C2 ldapursb x2, [x30, #0x75] 199D9299 ldapursb x25, [x20, #-0x27] 198CA35A ldapursb x26, [x26, #0xca] 1993D097 ldapursb x23, [x4, #-0xc3] // LDAPURSH_32_ldapstl_unscaled 0101100111xxxxxxxxxxxxxxxxxxxxxx 59CDE192 ldapursh w18, [x12, #0xde] 59D5E247 ldapursh w7, [x18, #-0xa2] 59C9F0E6 ldapursh w6, [x7, #0x9f] 59D1B0B3 ldapursh w19, [x5, #-0xe5] 59D18210 ldapursh w16, [x16, #-0xe8] 59DD7268 ldapursh w8, [x19, #-0x29] 59C6C13F ldapursh wzr, [x9, #0x6c] 59D13214 ldapursh w20, [x16, #-0xed] 59D8F17E ldapursh w30, [x11, #-0x71] 59D0C06D ldapursh w13, [x3, #-0xf4] 59DE80EF ldapursh w15, [x7, #-0x18] 59C7E2B7 ldapursh w23, [x21, #0x7e] 59C39255 ldapursh w21, [x18, #0x39] 59CF41B5 ldapursh w21, [x13, #0xf4] 59C9B13D ldapursh w29, [x9, #0x9b] 59C71218 ldapursh w24, [x16, #0x71] // LDAPURSH_64_ldapstl_unscaled 0101100110xxxxxxxxxxxxxxxxxxxxxx 599C50DC ldapursh x28, [x6, #-0x3b] 599B4307 ldapursh x7, [x24, #-0x4c] 598A8213 ldapursh x19, [x16, #0xa8] 5996E159 ldapursh x25, [x10, #-0x92] 59931166 ldapursh x6, [x11, #-0xcf] 5991D3FF ldapursh xzr, [sp, #-0xe3] 599E4112 ldapursh x18, [x8, #-0x1c] 598670A8 ldapursh x8, [x5, #0x67] 599A423A ldapursh x26, [x17, #-0x5c] 59949376 ldapursh x22, [x27, #-0xb7] 59896127 ldapursh x7, [x9, #0x96] 599152A9 ldapursh x9, [x21, #-0xeb] 59828100 ldapursh x0, [x8, #0x28] 598F03CE ldapursh x14, [x30, #0xf0] 5994917C ldapursh x28, [x11, #-0xb7] 598CE0E2 ldapursh x2, [x7, #0xce] // LDAPURSW_64_ldapstl_unscaled 1001100110xxxxxxxxxxxxxxxxxxxxxx 999D5150 ldapursw x16, [x10, #-0x2b] 998540C0 ldapursw x0, [x6, #0x54] 998FD18F ldapursw x15, [x12, #0xfd] 9981E10A ldapursw x10, [x8, #0x1e] 9988E2C6 ldapursw x6, [x22, #0x8e] 9987639E ldapursw x30, [x28, #0x76] 998EC115 ldapursw x21, [x8, #0xec] 9989316B ldapursw x11, [x11, #0x93] 999E30E4 ldapursw x4, [x7, #-0x1d] 998522EB ldapursw x11, [x23, #0x52] 9998A03C ldapursw x28, [x1, #-0x76] 998840D7 ldapursw x23, [x6, #0x84] 9983427C ldapursw x28, [x19, #0x34] 9986F216 ldapursw x22, [x16, #0x6f] 998B509C ldapursw x28, [x4, #0xb5] 998810FD ldapursw x29, [x7, #0x81] // LDAPUR_32_ldapstl_unscaled 1001100101xxxxxxxxxxxxxxxxxxxxxx 9949E0A0 ldapur w0, [x5, #0x9e] 994312EB ldapur w11, [x23, #0x31] 9941C115 ldapur w21, [x8, #0x1c] 9951705E ldapur w30, [x2, #-0xe9] 994621B2 ldapur w18, [x13, #0x62] 995E0238 ldapur w24, [x17, #-0x20] 994742F9 ldapur w25, [x23, #0x74] 9950B319 ldapur w25, [x24, #-0xf5] 994ED319 ldapur w25, [x24, #0xed] 9942B0BF ldapur wzr, [x5, #0x2b] 994B411C ldapur w28, [x8, #0xb4] 994B221D ldapur w29, [x16, #0xb2] 9950A173 ldapur w19, [x11, #-0xf6] 995B0266 ldapur w6, [x19, #-0x50] 99487095 ldapur w21, [x4, #0x87] 994BD01C ldapur w28, [x0, #0xbd] // LDAPUR_64_ldapstl_unscaled 11011001010xxxxxxxxxxxxxxxxxxxxx D94CC1FF ldapur xzr, [x15, #0xcc] D958B07A ldapur x26, [x3, #-0x75] D95533EF ldapur x15, [sp, #-0xad] D95BC3A0 ldapur x0, [x29, #-0x44] D950403C ldapur x28, [x1, #-0xfc] D94C02C6 ldapur x6, [x22, #0xc0] D95C83A5 ldapur x5, [x29, #-0x38] D95BA0D6 ldapur x22, [x6, #-0x46] D94A33B7 ldapur x23, [x29, #0xa3] D95291A2 ldapur x2, [x13, #-0xd7] D941526B ldapur x11, [x19, #0x15] D94003DE ldapur x30, [x30] D940F12A ldapur x10, [x9, #0xf] D95433BB ldapur x27, [x29, #-0xbd] D9507390 ldapur x16, [x28, #-0xf9] D955E389 ldapur x9, [x28, #-0xa2] // LDARB_LR32_ldstexcl 00001000110xxxxx1xxxxxxxxxxxxxxx 08CFFD6F ldarb w15, [x11] 08DFFCCA ldarb w10, [x6] 08DFFE08 ldarb w8, [x16] 08DFFF25 ldarb w5, [x25] 08CFFD7C ldarb w28, [x11] 08DFFFF2 ldarb w18, [sp] 08CFFDC6 ldarb w6, [x14] 08CFFCE8 ldarb w8, [x7] 08CFFC6A ldarb w10, [x3] 08CFFC52 ldarb w18, [x2] 08CFFFEE ldarb w14, [sp] 08CFFFEB ldarb w11, [sp] 08DFFD7E ldarb w30, [x11] 08CFFF26 ldarb w6, [x25] 08CFFD4B ldarb w11, [x10] 08CFFC49 ldarb w9, [x2] // LDARH_LR32_ldstexcl 01001000110xxxxx1xxxxxxxxxxxxxxx 48DFFFCA ldarh w10, [x30] 48CFFEA0 ldarh w0, [x21] 48DFFD29 ldarh w9, [x9] 48CFFDC6 ldarh w6, [x14] 48CFFF7F ldarh wzr, [x27] 48CFFD08 ldarh w8, [x8] 48DFFEE1 ldarh w1, [x23] 48CFFC0A ldarh w10, [x0] 48CFFFF2 ldarh w18, [sp] 48CFFEEA ldarh w10, [x23] 48CFFDEB ldarh w11, [x15] 48DFFC08 ldarh w8, [x0] 48DFFEA9 ldarh w9, [x21] 48DFFF91 ldarh w17, [x28] 48CFFF2C ldarh w12, [x25] 48CFFDFD ldarh w29, [x15] // LDAR_LR32_ldstexcl 10001000110xxxxx1xxxxxxxxxxxxxxx 88CFFD5B ldar w27, [x10] 88CFFEEB ldar w11, [x23] 88CFFEE9 ldar w9, [x23] 88CFFEC5 ldar w5, [x22] 88CFFDA9 ldar w9, [x13] 88CFFEC6 ldar w6, [x22] 88CFFC3C ldar w28, [x1] 88DFFD4A ldar w10, [x10] 88DFFD2C ldar w12, [x9] 88DFFD3A ldar w26, [x9] 88DFFC60 ldar w0, [x3] 88CFFC48 ldar w8, [x2] 88CFFC9A ldar w26, [x4] 88DFFF1E ldar w30, [x24] 88CFFFE6 ldar w6, [sp] 88DFFD7B ldar w27, [x11] // LDAR_LR64_ldstexcl 11001000110xxxxx1xxxxxxxxxxxxxxx C8DFFD72 ldar x18, [x11] C8CFFE03 ldar x3, [x16] C8CFFE02 ldar x2, [x16] C8CFFE31 ldar x17, [x17] C8DFFE8B ldar x11, [x20] C8CFFEB2 ldar x18, [x21] C8DFFC2A ldar x10, [x1] C8CFFD96 ldar x22, [x12] C8DFFD79 ldar x25, [x11] C8CFFCB7 ldar x23, [x5] C8CFFE57 ldar x23, [x18] C8CFFE4B ldar x11, [x18] C8DFFDDD ldar x29, [x14] C8DFFCF7 ldar x23, [x7] C8CFFF64 ldar x4, [x27] C8CFFCBF ldar xzr, [x5] // LDAXP_LP32_ldstexcl 10001000011xxxxx1xxxxxxxxxxxxxxx 887CBF3B ldaxp w27, w15, [x25] 8869A6BC ldaxp w28, w9, [x21] 8863DFCB ldaxp w11, w23, [x30] 886F8404 ldaxp w4, w1, [x0] 8867D214 ldaxp w20, w20, [x16] 8866BED2 ldaxp w18, w15, [x22] 886CE6BB ldaxp w27, w25, [x21] 8860B1FA ldaxp w26, w12, [x15] 887283C9 ldaxp w9, w0, [x30] 8863BCA8 ldaxp w8, w15, [x5] 8864A0EB ldaxp w11, w8, [x7] 887A8002 ldaxp w2, w0, [x0] 8863AE19 ldaxp w25, w11, [x16] 88729F97 ldaxp w23, w7, [x28] 8867E5C0 ldaxp w0, w25, [x14] 886E8B13 ldaxp w19, w2, [x24] // LDAXP_LP64_ldstexcl 11001000011xxxxx1xxxxxxxxxxxxxxx C869D17C ldaxp x28, x20, [x11] C87DA311 ldaxp x17, x8, [x24] C868BD56 ldaxp x22, x15, [x10] C8719E06 ldaxp x6, x7, [x16] C86FA8C8 ldaxp x8, x10, [x6] C8728A94 ldaxp x20, x2, [x20] C862D7CF ldaxp x15, x21, [x30] C87090FB ldaxp x27, x4, [x7] C879A07C ldaxp x28, x8, [x3] C863AC1B ldaxp x27, x11, [x0] C86FE1E6 ldaxp x6, x24, [x15] C86DF738 ldaxp x24, x29, [x25] C866BE21 ldaxp x1, x15, [x17] C864B578 ldaxp x24, x13, [x11] C86B9E39 ldaxp x25, x7, [x17] C863E924 ldaxp x4, x26, [x9] // LDAXRB_LR32_ldstexcl 00001000010xxxxx1xxxxxxxxxxxxxxx 0840D752 ldaxrb w18, [x26] 0859E8C4 ldaxrb w4, [x6] 084CA1FD ldaxrb w29, [x15] 084FA4FA ldaxrb w26, [x7] 0852C6F8 ldaxrb w24, [x23] 0844FFE3 ldaxrb w3, [sp] 0842D535 ldaxrb w21, [x9] 084795EA ldaxrb w10, [x15] 0844EA84 ldaxrb w4, [x20] 085B886B ldaxrb w11, [x3] 084AAC78 ldaxrb w24, [x3] 085FA651 ldaxrb w17, [x18] 0849E503 ldaxrb w3, [x8] 085FA239 ldaxrb w25, [x17] 0846CFB1 ldaxrb w17, [x29] 0841B754 ldaxrb w20, [x26] // LDAXRH_LR32_ldstexcl 01001000010xxxxx1xxxxxxxxxxxxxxx 4844AFC2 ldaxrh w2, [x30] 485C954B ldaxrh w11, [x10] 485B8373 ldaxrh w19, [x27] 485BE262 ldaxrh w2, [x19] 4845FA17 ldaxrh w23, [x16] 485B9B58 ldaxrh w24, [x26] 4841B8EE ldaxrh w14, [x7] 4853BFDE ldaxrh w30, [x30] 48458BDA ldaxrh w26, [x30] 4847A94D ldaxrh w13, [x10] 4844C157 ldaxrh w23, [x10] 4858EFA8 ldaxrh w8, [x29] 485F9796 ldaxrh w22, [x28] 484F8D2D ldaxrh w13, [x9] 484CE494 ldaxrh w20, [x4] 4841FC4C ldaxrh w12, [x2] // LDAXR_LR32_ldstexcl 10001000010xxxxx1xxxxxxxxxxxxxxx 885BD8EE ldaxr w14, [x7] 884AA710 ldaxr w16, [x24] 8842C91A ldaxr w26, [x8] 8855D27A ldaxr w26, [x19] 8855B398 ldaxr w24, [x28] 884585FD ldaxr w29, [x15] 88548FB6 ldaxr w22, [x29] 88599CA4 ldaxr w4, [x5] 885BD247 ldaxr w7, [x18] 88429F51 ldaxr w17, [x26] 885DD402 ldaxr w2, [x0] 885DA945 ldaxr w5, [x10] 8848ED71 ldaxr w17, [x11] 885DC355 ldaxr w21, [x26] 8854A388 ldaxr w8, [x28] 885697FD ldaxr w29, [sp] // LDAXR_LR64_ldstexcl 11001000010xxxxx1xxxxxxxxxxxxxxx C841AA8F ldaxr x15, [x20] C85AC3BE ldaxr x30, [x29] C85EB848 ldaxr x8, [x2] C854C7ED ldaxr x13, [sp] C842E358 ldaxr x24, [x26] C8488293 ldaxr x19, [x20] C849A523 ldaxr x3, [x9] C843B68C ldaxr x12, [x20] C853D2E4 ldaxr x4, [x23] C85D8A74 ldaxr x20, [x19] C84DE2CC ldaxr x12, [x22] C8539FBE ldaxr x30, [x29] C840D9F8 ldaxr x24, [x15] C845B4DE ldaxr x30, [x6] C850D51E ldaxr x30, [x8] C84584EE ldaxr x14, [x7] // LDCLRAB_32_memop 00111000101xxxxx000100xxxxxxxxxx 38A91256 ldclrab w9, w22, [x18] 38AB10D5 ldclrab w11, w21, [x6] 38AA1220 ldclrab w10, w0, [x17] 38AF103C ldclrab w15, w28, [x1] 38BB13D7 ldclrab w27, w23, [x30] 38A0137F ldclrab w0, wzr, [x27] 38B8137A ldclrab w24, w26, [x27] 38B7136A ldclrab w23, w10, [x27] 38B9129D ldclrab w25, w29, [x20] 38B01254 ldclrab w16, w20, [x18] 38A61214 ldclrab w6, w20, [x16] 38A812DF ldclrab w8, wzr, [x22] 38AD11C8 ldclrab w13, w8, [x14] 38B312D7 ldclrab w19, w23, [x22] 38BD1319 ldclrab w29, w25, [x24] 38B91081 ldclrab w25, w1, [x4] // LDCLRAH_32_memop 01111000101xxxxx000100xxxxxxxxxx 78BC125F ldclrah w28, wzr, [x18] 78B41198 ldclrah w20, w24, [x12] 78B711EE ldclrah w23, w14, [x15] 78B21255 ldclrah w18, w21, [x18] 78B212C7 ldclrah w18, w7, [x22] 78B11346 ldclrah w17, w6, [x26] 78B01068 ldclrah w16, w8, [x3] 78AC12EF ldclrah w12, w15, [x23] 78BD10F8 ldclrah w29, w24, [x7] 78BF13A9 ldclrah wzr, w9, [x29] 78A41104 ldclrah w4, w4, [x8] 78B511FF ldclrah w21, wzr, [x15] 78A11158 ldclrah w1, w24, [x10] 78B41077 ldclrah w20, w23, [x3] 78AD12D8 ldclrah w13, w24, [x22] 78A513AF ldclrah w5, w15, [x29] // LDCLRALB_32_memop 00111000111xxxxx000100xxxxxxxxxx 38FE11F2 ldclralb w30, w18, [x15] 38FB100F ldclralb w27, w15, [x0] 38F51072 ldclralb w21, w18, [x3] 38FB11EE ldclralb w27, w14, [x15] 38F5110B ldclralb w21, w11, [x8] 38F710B8 ldclralb w23, w24, [x5] 38EF11C2 ldclralb w15, w2, [x14] 38F41146 ldclralb w20, w6, [x10] 38E713C6 ldclralb w7, w6, [x30] 38F91212 ldclralb w25, w18, [x16] 38E113A2 ldclralb w1, w2, [x29] 38E11274 ldclralb w1, w20, [x19] 38F01112 ldclralb w16, w18, [x8] 38EF1275 ldclralb w15, w21, [x19] 38F41282 ldclralb w20, w2, [x20] 38E813AE ldclralb w8, w14, [x29] // LDCLRALH_32_memop 01111000111xxxxx000100xxxxxxxxxx 78FB119A ldclralh w27, w26, [x12] 78EA1042 ldclralh w10, w2, [x2] 78E81069 ldclralh w8, w9, [x3] 78F41122 ldclralh w20, w2, [x9] 78FB1263 ldclralh w27, w3, [x19] 78E61343 ldclralh w6, w3, [x26] 78F6102F ldclralh w22, w15, [x1] 78F0121D ldclralh w16, w29, [x16] 78FA138E ldclralh w26, w14, [x28] 78E413FF ldclralh w4, wzr, [sp] 78EE10C4 ldclralh w14, w4, [x6] 78FC113C ldclralh w28, w28, [x9] 78F11358 ldclralh w17, w24, [x26] 78E212D3 ldclralh w2, w19, [x22] 78EE13BE ldclralh w14, w30, [x29] 78E211A6 ldclralh w2, w6, [x13] // LDCLRAL_32_memop 10111000111xxxxx000100xxxxxxxxxx B8E312F8 ldclral w3, w24, [x23] B8E31334 ldclral w3, w20, [x25] B8E510C8 ldclral w5, w8, [x6] B8EA13A9 ldclral w10, w9, [x29] B8E510B4 ldclral w5, w20, [x5] B8EE104D ldclral w14, w13, [x2] B8E511F1 ldclral w5, w17, [x15] B8E012E2 ldclral w0, w2, [x23] B8EE1167 ldclral w14, w7, [x11] B8FD116A ldclral w29, w10, [x11] B8F510ED ldclral w21, w13, [x7] B8F6104D ldclral w22, w13, [x2] B8F11006 ldclral w17, w6, [x0] B8E2136E ldclral w2, w14, [x27] B8F410DD ldclral w20, w29, [x6] B8E010F5 ldclral w0, w21, [x7] // LDCLRAL_64_memop 11111000111xxxxx000100xxxxxxxxxx F8F71209 ldclral x23, x9, [x16] F8ED10AF ldclral x13, x15, [x5] F8F410BC ldclral x20, x28, [x5] F8E81045 ldclral x8, x5, [x2] F8FB112E ldclral x27, x14, [x9] F8FB1227 ldclral x27, x7, [x17] F8F81244 ldclral x24, x4, [x18] F8FE11F5 ldclral x30, x21, [x15] F8FC138C ldclral x28, x12, [x28] F8E210E9 ldclral x2, x9, [x7] F8E012CF ldclral x0, x15, [x22] F8EE12E9 ldclral x14, x9, [x23] F8E910F2 ldclral x9, x18, [x7] F8FC1134 ldclral x28, x20, [x9] F8F11064 ldclral x17, x4, [x3] F8E512E9 ldclral x5, x9, [x23] // LDCLRA_32_memop 10111000101xxxxx000100xxxxxxxxxx B8B81163 ldclra w24, w3, [x11] B8B512D5 ldclra w21, w21, [x22] B8BE13E2 ldclra w30, w2, [sp] B8AD13EA ldclra w13, w10, [sp] B8B311DC ldclra w19, w28, [x14] B8B6133B ldclra w22, w27, [x25] B8A01178 ldclra w0, w24, [x11] B8A31091 ldclra w3, w17, [x4] B8AB11C3 ldclra w11, w3, [x14] B8A71394 ldclra w7, w20, [x28] B8A51014 ldclra w5, w20, [x0] B8A011BD ldclra w0, w29, [x13] B8BB124F ldclra w27, w15, [x18] B8A013C8 ldclra w0, w8, [x30] B8A010C3 ldclra w0, w3, [x6] B8B3120E ldclra w19, w14, [x16] // LDCLRA_64_memop 11111000101xxxxx000100xxxxxxxxxx F8B412AE ldclra x20, x14, [x21] F8AF1221 ldclra x15, x1, [x17] F8A612D3 ldclra x6, x19, [x22] F8B41145 ldclra x20, x5, [x10] F8AC11EC ldclra x12, x12, [x15] F8AB111A ldclra x11, x26, [x8] F8A112AB ldclra x1, x11, [x21] F8A712A9 ldclra x7, x9, [x21] F8BD114B ldclra x29, x11, [x10] F8A81251 ldclra x8, x17, [x18] F8A61089 ldclra x6, x9, [x4] F8B8102D ldclra x24, x13, [x1] F8BD1021 ldclra x29, x1, [x1] F8A3114B ldclra x3, x11, [x10] F8BE11B4 ldclra x30, x20, [x13] F8A71239 ldclra x7, x25, [x17] // LDCLRB_32_memop 00111000001xxxxx000100xxxxxxxxxx 3825118D ldclrb w5, w13, [x12] 38231052 ldclrb w3, w18, [x2] 383F13BD ldclrb wzr, w29, [x29] 3820118C ldclrb w0, w12, [x12] 383E13C3 ldclrb w30, w3, [x30] 383F1253 ldclrb wzr, w19, [x18] 3832100E ldclrb w18, w14, [x0] 382511A9 ldclrb w5, w9, [x13] 383D1080 ldclrb w29, w0, [x4] 382A1291 ldclrb w10, w17, [x20] 38221031 ldclrb w2, w17, [x1] 383D1298 ldclrb w29, w24, [x20] 382E1089 ldclrb w14, w9, [x4] 383B110A ldclrb w27, w10, [x8] 383C13BB ldclrb w28, w27, [x29] 383913C9 ldclrb w25, w9, [x30] // LDCLRH_32_memop 01111000001xxxxx000100xxxxxxxxxx 782B1030 ldclrh w11, w16, [x1] 783113C2 ldclrh w17, w2, [x30] 783A113E ldclrh w26, w30, [x9] 782B13D4 ldclrh w11, w20, [x30] 783B13A0 ldclrh w27, w0, [x29] 7827101D ldclrh w7, w29, [x0] 783211FB ldclrh w18, w27, [x15] 7821111C ldclrh w1, w28, [x8] 78371169 ldclrh w23, w9, [x11] 782C1271 ldclrh w12, w17, [x19] 782513DE ldclrh w5, w30, [x30] 7831127D ldclrh w17, w29, [x19] 78361149 ldclrh w22, w9, [x10] 7825130B ldclrh w5, w11, [x24] 7821115B ldclrh w1, w27, [x10] 783012BB ldclrh w16, w27, [x21] // LDCLRLB_32_memop 00111000011xxxxx000100xxxxxxxxxx 3870106A ldclrlb w16, w10, [x3] 3866132C ldclrlb w6, w12, [x25] 3868123D ldclrlb w8, w29, [x17] 38671161 ldclrlb w7, w1, [x11] 387213D0 ldclrlb w18, w16, [x30] 38741101 ldclrlb w20, w1, [x8] 38771339 ldclrlb w23, w25, [x25] 38781146 ldclrlb w24, w6, [x10] 38681029 ldclrlb w8, w9, [x1] 387F125B ldclrlb wzr, w27, [x18] 3878122C ldclrlb w24, w12, [x17] 38691215 ldclrlb w9, w21, [x16] 387510CB ldclrlb w21, w11, [x6] 386E10A2 ldclrlb w14, w2, [x5] 387E12F0 ldclrlb w30, w16, [x23] 387412DA ldclrlb w20, w26, [x22] // LDCLRLH_32_memop 01111000011xxxxx000100xxxxxxxxxx 787F1222 ldclrlh wzr, w2, [x17] 78621370 ldclrlh w2, w16, [x27] 78791058 ldclrlh w25, w24, [x2] 7872121C ldclrlh w18, w28, [x16] 7860132A ldclrlh w0, w10, [x25] 786D10EF ldclrlh w13, w15, [x7] 786B12BB ldclrlh w11, w27, [x21] 78601162 ldclrlh w0, w2, [x11] 786011A3 ldclrlh w0, w3, [x13] 787D10DD ldclrlh w29, w29, [x6] 787113FA ldclrlh w17, w26, [sp] 787F121D ldclrlh wzr, w29, [x16] 78681222 ldclrlh w8, w2, [x17] 7872136F ldclrlh w18, w15, [x27] 787D1194 ldclrlh w29, w20, [x12] 78691279 ldclrlh w9, w25, [x19] // LDCLRL_32_memop 10111000011xxxxx000100xxxxxxxxxx B87F1398 ldclrl wzr, w24, [x28] B874125A ldclrl w20, w26, [x18] B8741085 ldclrl w20, w5, [x4] B87A103B ldclrl w26, w27, [x1] B86413B8 ldclrl w4, w24, [x29] B86811DB ldclrl w8, w27, [x14] B8611244 ldclrl w1, w4, [x18] B86A1281 ldclrl w10, w1, [x20] B86F134D ldclrl w15, w13, [x26] B86F136B ldclrl w15, w11, [x27] B873136E ldclrl w19, w14, [x27] B8701167 ldclrl w16, w7, [x11] B86C12C7 ldclrl w12, w7, [x22] B879117B ldclrl w25, w27, [x11] B87712AF ldclrl w23, w15, [x21] B8771253 ldclrl w23, w19, [x18] // LDCLRL_64_memop 11111000011xxxxx000100xxxxxxxxxx F86510D7 ldclrl x5, x23, [x6] F87D126A ldclrl x29, x10, [x19] F87D1140 ldclrl x29, x0, [x10] F8681140 ldclrl x8, x0, [x10] F8691365 ldclrl x9, x5, [x27] F876115C ldclrl x22, x28, [x10] F87512E2 ldclrl x21, x2, [x23] F875133C ldclrl x21, x28, [x25] F86E108D ldclrl x14, x13, [x4] F86710C0 ldclrl x7, x0, [x6] F86713EC ldclrl x7, x12, [sp] F86A1112 ldclrl x10, x18, [x8] F8651124 ldclrl x5, x4, [x9] F8681254 ldclrl x8, x20, [x18] F8601192 ldclrl x0, x18, [x12] F87C137B ldclrl x28, x27, [x27] // LDCLR_32_memop 10111000001xxxxx000100xxxxxxxxxx B8221110 ldclr w2, w16, [x8] B82C11D3 ldclr w12, w19, [x14] B825123C ldclr w5, w28, [x17] B82D1101 ldclr w13, w1, [x8] B8301354 ldclr w16, w20, [x26] B82A1243 ldclr w10, w3, [x18] B82713FA ldclr w7, w26, [sp] B82B1062 ldclr w11, w2, [x3] B83711C4 ldclr w23, w4, [x14] B8351038 ldclr w21, w24, [x1] B825110D ldclr w5, w13, [x8] B8351314 ldclr w21, w20, [x24] B83D1379 ldclr w29, w25, [x27] B8351072 ldclr w21, w18, [x3] B83710A2 ldclr w23, w2, [x5] B83B1176 ldclr w27, w22, [x11] // LDCLR_64_memop 11111000001xxxxx000100xxxxxxxxxx F83A1020 ldclr x26, x0, [x1] F825138A ldclr x5, x10, [x28] F8281327 ldclr x8, x7, [x25] F82C1141 ldclr x12, x1, [x10] F835139D ldclr x21, x29, [x28] F82A11D6 ldclr x10, x22, [x14] F83E122D ldclr x30, x13, [x17] F82410FA ldclr x4, x26, [x7] F82913B8 ldclr x9, x24, [x29] F83B13C5 ldclr x27, x5, [x30] F83F114B ldclr xzr, x11, [x10] F8351045 ldclr x21, x5, [x2] F82E1081 ldclr x14, x1, [x4] F8311100 ldclr x17, x0, [x8] F8351192 ldclr x21, x18, [x12] F8391333 ldclr x25, x19, [x25] // LDEORAB_32_memop 00111000101xxxxx001000xxxxxxxxxx 38A62396 ldeorab w6, w22, [x28] 38B623BD ldeorab w22, w29, [x29] 38B721BD ldeorab w23, w29, [x13] 38B92349 ldeorab w25, w9, [x26] 38A621B4 ldeorab w6, w20, [x13] 38AF20F4 ldeorab w15, w20, [x7] 38A621E8 ldeorab w6, w8, [x15] 38A4219F ldeorab w4, wzr, [x12] 38A520F1 ldeorab w5, w17, [x7] 38AE22CC ldeorab w14, w12, [x22] 38A32031 ldeorab w3, w17, [x1] 38A721B2 ldeorab w7, w18, [x13] 38BC22A5 ldeorab w28, w5, [x21] 38BA2064 ldeorab w26, w4, [x3] 38AF22E4 ldeorab w15, w4, [x23] 38B02347 ldeorab w16, w7, [x26] // LDEORAH_32_memop 01111000101xxxxx001000xxxxxxxxxx 78BB21B4 ldeorah w27, w20, [x13] 78B923E4 ldeorah w25, w4, [sp] 78B623BD ldeorah w22, w29, [x29] 78AB22D2 ldeorah w11, w18, [x22] 78A82114 ldeorah w8, w20, [x8] 78B02135 ldeorah w16, w21, [x9] 78A82313 ldeorah w8, w19, [x24] 78AB23FC ldeorah w11, w28, [sp] 78A32217 ldeorah w3, w23, [x16] 78BF20F5 ldeorah wzr, w21, [x7] 78AA2299 ldeorah w10, w25, [x20] 78B6208C ldeorah w22, w12, [x4] 78AA23BE ldeorah w10, w30, [x29] 78B321FD ldeorah w19, w29, [x15] 78BF2162 ldeorah wzr, w2, [x11] 78BD22F2 ldeorah w29, w18, [x23] // LDEORALB_32_memop 00111000111xxxxx001000xxxxxxxxxx 38E421DC ldeoralb w4, w28, [x14] 38FB22A0 ldeoralb w27, w0, [x21] 38FD227C ldeoralb w29, w28, [x19] 38FD211C ldeoralb w29, w28, [x8] 38F4230F ldeoralb w20, w15, [x24] 38F7219D ldeoralb w23, w29, [x12] 38FE239E ldeoralb w30, w30, [x28] 38E22193 ldeoralb w2, w19, [x12] 38E32147 ldeoralb w3, w7, [x10] 38F423F2 ldeoralb w20, w18, [sp] 38FD20B2 ldeoralb w29, w18, [x5] 38EF20ED ldeoralb w15, w13, [x7] 38EA205A ldeoralb w10, w26, [x2] 38F62151 ldeoralb w22, w17, [x10] 38EF2340 ldeoralb w15, w0, [x26] 38EA2052 ldeoralb w10, w18, [x2] // LDEORALH_32_memop 01111000111xxxxx001000xxxxxxxxxx 78FF23DC ldeoralh wzr, w28, [x30] 78FB2157 ldeoralh w27, w23, [x10] 78E5228B ldeoralh w5, w11, [x20] 78F6222D ldeoralh w22, w13, [x17] 78E920D0 ldeoralh w9, w16, [x6] 78EB21D1 ldeoralh w11, w17, [x14] 78E7203A ldeoralh w7, w26, [x1] 78EA21D5 ldeoralh w10, w21, [x14] 78E7232F ldeoralh w7, w15, [x25] 78F320DC ldeoralh w19, w28, [x6] 78EE2085 ldeoralh w14, w5, [x4] 78F120A6 ldeoralh w17, w6, [x5] 78E722B4 ldeoralh w7, w20, [x21] 78EC2330 ldeoralh w12, w16, [x25] 78F3236B ldeoralh w19, w11, [x27] 78E222A5 ldeoralh w2, w5, [x21] // LDEORAL_32_memop 10111000111xxxxx001000xxxxxxxxxx B8E3220B ldeoral w3, w11, [x16] B8E62051 ldeoral w6, w17, [x2] B8E2221C ldeoral w2, w28, [x16] B8E523CF ldeoral w5, w15, [x30] B8F623DB ldeoral w22, w27, [x30] B8E42357 ldeoral w4, w23, [x26] B8FA2186 ldeoral w26, w6, [x12] B8F4215C ldeoral w20, w28, [x10] B8F723A5 ldeoral w23, w5, [x29] B8E72351 ldeoral w7, w17, [x26] B8F4223B ldeoral w20, w27, [x17] B8EC2211 ldeoral w12, w17, [x16] B8F022B4 ldeoral w16, w20, [x21] B8E120C1 ldeoral w1, w1, [x6] B8E32277 ldeoral w3, w23, [x19] B8EA2087 ldeoral w10, w7, [x4] // LDEORAL_64_memop 11111000111xxxxx001000xxxxxxxxxx F8E323A4 ldeoral x3, x4, [x29] F8F72058 ldeoral x23, x24, [x2] F8EB2238 ldeoral x11, x24, [x17] F8E32397 ldeoral x3, x23, [x28] F8E9220A ldeoral x9, x10, [x16] F8F723C2 ldeoral x23, x2, [x30] F8F0209F ldeoral x16, xzr, [x4] F8EE2227 ldeoral x14, x7, [x17] F8F22266 ldeoral x18, x6, [x19] F8E920B4 ldeoral x9, x20, [x5] F8EA22E7 ldeoral x10, x7, [x23] F8FC2094 ldeoral x28, x20, [x4] F8F523DF ldeoral x21, xzr, [x30] F8E921CC ldeoral x9, x12, [x14] F8EB221C ldeoral x11, x28, [x16] F8EE205C ldeoral x14, x28, [x2] // LDEORA_32_memop 10111000101xxxxx001000xxxxxxxxxx B8AE20AD ldeora w14, w13, [x5] B8B9211D ldeora w25, w29, [x8] B8BD2154 ldeora w29, w20, [x10] B8AE231B ldeora w14, w27, [x24] B8A82125 ldeora w8, w5, [x9] B8BF23C3 ldeora wzr, w3, [x30] B8AC23D3 ldeora w12, w19, [x30] B8A823A6 ldeora w8, w6, [x29] B8B022E7 ldeora w16, w7, [x23] B8B12327 ldeora w17, w7, [x25] B8AC20BE ldeora w12, w30, [x5] B8AF210B ldeora w15, w11, [x8] B8AC20CC ldeora w12, w12, [x6] B8A32327 ldeora w3, w7, [x25] B8B32385 ldeora w19, w5, [x28] B8B02182 ldeora w16, w2, [x12] // LDEORA_64_memop 11111000101xxxxx001000xxxxxxxxxx F8AF2081 ldeora x15, x1, [x4] F8AE220A ldeora x14, x10, [x16] F8AD22AE ldeora x13, x14, [x21] F8B220C8 ldeora x18, x8, [x6] F8BA223A ldeora x26, x26, [x17] F8B92065 ldeora x25, x5, [x3] F8AE2300 ldeora x14, x0, [x24] F8AC2279 ldeora x12, x25, [x19] F8AA219F ldeora x10, xzr, [x12] F8B72013 ldeora x23, x19, [x0] F8A523A9 ldeora x5, x9, [x29] F8A82065 ldeora x8, x5, [x3] F8B122F5 ldeora x17, x21, [x23] F8A1239D ldeora x1, x29, [x28] F8A623C2 ldeora x6, x2, [x30] F8AA21C6 ldeora x10, x6, [x14] // LDEORB_32_memop 00111000001xxxxx001000xxxxxxxxxx 3834210A ldeorb w20, w10, [x8] 383F23C3 ldeorb wzr, w3, [x30] 3823239C ldeorb w3, w28, [x28] 38312380 ldeorb w17, w0, [x28] 383723C3 ldeorb w23, w3, [x30] 38302263 ldeorb w16, w3, [x19] 382621E2 ldeorb w6, w2, [x15] 383E2088 ldeorb w30, w8, [x4] 3820215C ldeorb w0, w28, [x10] 38252066 ldeorb w5, w6, [x3] 38372026 ldeorb w23, w6, [x1] 383E234D ldeorb w30, w13, [x26] 382D207E ldeorb w13, w30, [x3] 382C230C ldeorb w12, w12, [x24] 382020A7 ldeorb w0, w7, [x5] 382A2160 ldeorb w10, w0, [x11] // LDEORH_32_memop 01111000001xxxxx001000xxxxxxxxxx 783B22F2 ldeorh w27, w18, [x23] 7839226F ldeorh w25, w15, [x19] 782522D0 ldeorh w5, w16, [x22] 782223A4 ldeorh w2, w4, [x29] 78312191 ldeorh w17, w17, [x12] 7834215C ldeorh w20, w28, [x10] 7828236C ldeorh w8, w12, [x27] 78302123 ldeorh w16, w3, [x9] 78342130 ldeorh w20, w16, [x9] 78392188 ldeorh w25, w8, [x12] 78232041 ldeorh w3, w1, [x2] 7838221C ldeorh w24, w28, [x16] 783420A5 ldeorh w20, w5, [x5] 78292367 ldeorh w9, w7, [x27] 7836236A ldeorh w22, w10, [x27] 78372132 ldeorh w23, w18, [x9] // LDEORLB_32_memop 00111000011xxxxx001000xxxxxxxxxx 387A22F2 ldeorlb w26, w18, [x23] 38762314 ldeorlb w22, w20, [x24] 386823AC ldeorlb w8, w12, [x29] 386E2096 ldeorlb w14, w22, [x4] 387921C3 ldeorlb w25, w3, [x14] 387C20A5 ldeorlb w28, w5, [x5] 386221CF ldeorlb w2, w15, [x14] 386E21E6 ldeorlb w14, w6, [x15] 387520CF ldeorlb w21, w15, [x6] 38722108 ldeorlb w18, w8, [x8] 387F216E ldeorlb wzr, w14, [x11] 3862209A ldeorlb w2, w26, [x4] 3873210C ldeorlb w19, w12, [x8] 38612180 ldeorlb w1, w0, [x12] 387320F4 ldeorlb w19, w20, [x7] 387022AD ldeorlb w16, w13, [x21] // LDEORLH_32_memop 01111000011xxxxx001000xxxxxxxxxx 786C21DA ldeorlh w12, w26, [x14] 787823F8 ldeorlh w24, w24, [sp] 786F2184 ldeorlh w15, w4, [x12] 786D2120 ldeorlh w13, w0, [x9] 78652273 ldeorlh w5, w19, [x19] 78732119 ldeorlh w19, w25, [x8] 786F2249 ldeorlh w15, w9, [x18] 78772133 ldeorlh w23, w19, [x9] 78702218 ldeorlh w16, w24, [x16] 787622DC ldeorlh w22, w28, [x22] 786D20FE ldeorlh w13, w30, [x7] 7871215B ldeorlh w17, w27, [x10] 7863227E ldeorlh w3, w30, [x19] 786B21AD ldeorlh w11, w13, [x13] 7867205D ldeorlh w7, w29, [x2] 78622165 ldeorlh w2, w5, [x11] // LDEORL_32_memop 10111000011xxxxx001000xxxxxxxxxx B86D225B ldeorl w13, w27, [x18] B8672259 ldeorl w7, w25, [x18] B8682338 ldeorl w8, w24, [x25] B87A2348 ldeorl w26, w8, [x26] B87D234A ldeorl w29, w10, [x26] B86D2312 ldeorl w13, w18, [x24] B8712124 ldeorl w17, w4, [x9] B87A225D ldeorl w26, w29, [x18] B866218A ldeorl w6, w10, [x12] B86F2377 ldeorl w15, w23, [x27] B87C22E6 ldeorl w28, w6, [x23] B86A23CB ldeorl w10, w11, [x30] B87B206B ldeorl w27, w11, [x3] B87E208D ldeorl w30, w13, [x4] B8692387 ldeorl w9, w7, [x28] B87620FC ldeorl w22, w28, [x7] // LDEORL_64_memop 11111000011xxxxx001000xxxxxxxxxx F87B20A4 ldeorl x27, x4, [x5] F8602276 ldeorl x0, x22, [x19] F8742112 ldeorl x20, x18, [x8] F86620DD ldeorl x6, x29, [x6] F87E223B ldeorl x30, x27, [x17] F8682233 ldeorl x8, x19, [x17] F8632124 ldeorl x3, x4, [x9] F8602309 ldeorl x0, x9, [x24] F871223C ldeorl x17, x28, [x17] F8782101 ldeorl x24, x1, [x8] F861225B ldeorl x1, x27, [x18] F8692386 ldeorl x9, x6, [x28] F87C204F ldeorl x28, x15, [x2] F86423D5 ldeorl x4, x21, [x30] F8772023 ldeorl x23, x3, [x1] F87821FB ldeorl x24, x27, [x15] // LDEOR_32_memop 10111000001xxxxx001000xxxxxxxxxx B83A2336 ldeor w26, w22, [x25] B83320C0 ldeor w19, w0, [x6] B83E2033 ldeor w30, w19, [x1] B8312349 ldeor w17, w9, [x26] B83A2265 ldeor w26, w5, [x19] B82720F5 ldeor w7, w21, [x7] B82921B4 ldeor w9, w20, [x13] B82820B7 ldeor w8, w23, [x5] B83723C6 ldeor w23, w6, [x30] B82F22BA ldeor w15, w26, [x21] B832228D ldeor w18, w13, [x20] B82B22FA ldeor w11, w26, [x23] B82421E5 ldeor w4, w5, [x15] B83721CF ldeor w23, w15, [x14] B82C2229 ldeor w12, w9, [x17] B83F21AA ldeor wzr, w10, [x13] // LDEOR_64_memop 11111000001xxxxx001000xxxxxxxxxx F823224E ldeor x3, x14, [x18] F82B20D9 ldeor x11, x25, [x6] F83E23CD ldeor x30, x13, [x30] F83B23E2 ldeor x27, x2, [sp] F83D2163 ldeor x29, x3, [x11] F82D206D ldeor x13, x13, [x3] F83D218E ldeor x29, x14, [x12] F82421DC ldeor x4, x28, [x14] F8282053 ldeor x8, x19, [x2] F82C226A ldeor x12, x10, [x19] F8202274 ldeor x0, x20, [x19] F83022F7 ldeor x16, x23, [x23] F82E232F ldeor x14, x15, [x25] F83B2241 ldeor x27, x1, [x18] F838220A ldeor x24, x10, [x16] F834213A ldeor x20, x26, [x9] // LDGM_64bulk_ldsttags 1101100111100000000000xxxxxxxxxx D9E0037E ldgm x30, [x27] D9E00098 ldgm x24, [x4] D9E00242 ldgm x2, [x18] D9E0038F ldgm x15, [x28] D9E0010F ldgm x15, [x8] D9E0025D ldgm x29, [x18] D9E001F1 ldgm x17, [x15] D9E00278 ldgm x24, [x19] D9E00207 ldgm x7, [x16] D9E001F4 ldgm x20, [x15] D9E00113 ldgm x19, [x8] D9E001AE ldgm x14, [x13] D9E0015C ldgm x28, [x10] D9E00318 ldgm x24, [x24] D9E003D7 ldgm x23, [x30] D9E0010A ldgm x10, [x8] // LDG_64Loffset_ldsttags 11011001011xxxxxxxxx00xxxxxxxxxx D96583D1 ldg x17, [x30, #0x580] D97AD1D0 ldg x16, [x14, #-0x530] D979E0B7 ldg x23, [x5, #-0x620] D975C086 ldg x6, [x4, #-0xa40] D97993E8 ldg x8, [sp, #-0x670] D968030F ldg x15, [x24, #0x800] D96C4028 ldg x8, [x1, #0xc40] D96FC02F ldg x15, [x1, #0xfc0] D973425C ldg x28, [x18, #-0xcc0] D96AE015 ldg x21, [x0, #0xae0] D96D7095 ldg x21, [x4, #0xd70] D975B261 ldg x1, [x19, #-0xa50] D97EF164 ldg x4, [x11, #-0x110] D97630BD ldg x29, [x5, #-0x9d0] D975B087 ldg x7, [x4, #-0xa50] D97392D5 ldg x21, [x22, #-0xc70] // LDLARB_LR32_ldstexcl 00001000110xxxxx0xxxxxxxxxxxxxxx 08C87306 ldlarb w6, [x24] 08D85C88 ldlarb w8, [x4] 08C1609B ldlarb w27, [x4] 08C5584A ldlarb w10, [x2] 08D2660A ldlarb w10, [x16] 08DB0EA4 ldlarb w4, [x21] 08DC0665 ldlarb w5, [x19] 08D350CD ldlarb w13, [x6] 08DD3996 ldlarb w22, [x12] 08C2710C ldlarb w12, [x8] 08C67B2B ldlarb w11, [x25] 08DF0297 ldlarb w23, [x20] 08C13895 ldlarb w21, [x4] 08D4172C ldlarb w12, [x25] 08DB0B1C ldlarb w28, [x24] 08D90357 ldlarb w23, [x26] // LDLARH_LR32_ldstexcl 01001000110xxxxx0xxxxxxxxxxxxxxx 48C74775 ldlarh w21, [x27] 48D06E70 ldlarh w16, [x19] 48DB29F6 ldlarh w22, [x15] 48D139A6 ldlarh w6, [x13] 48CC5789 ldlarh w9, [x28] 48D333C7 ldlarh w7, [x30] 48D12F29 ldlarh w9, [x25] 48D03AE9 ldlarh w9, [x23] 48D77B94 ldlarh w20, [x28] 48C31D16 ldlarh w22, [x8] 48D4126F ldlarh w15, [x19] 48D156B8 ldlarh w24, [x21] 48CC4511 ldlarh w17, [x8] 48C06B9E ldlarh w30, [x28] 48D66305 ldlarh w5, [x24] 48CF4C0F ldlarh w15, [x0] // LDLAR_LR32_ldstexcl 10001000110xxxxx0xxxxxxxxxxxxxxx 88D125AE ldlar w14, [x13] 88C12642 ldlar w2, [x18] 88DD6287 ldlar w7, [x20] 88CD2EF7 ldlar w23, [x23] 88CD0C01 ldlar w1, [x0] 88DC158C ldlar w12, [x12] 88C05DE1 ldlar w1, [x15] 88D30899 ldlar w25, [x4] 88C1537B ldlar w27, [x27] 88D93890 ldlar w16, [x4] 88D14D1D ldlar w29, [x8] 88C60958 ldlar w24, [x10] 88CE5DCF ldlar w15, [x14] 88D85865 ldlar w5, [x3] 88C574D3 ldlar w19, [x6] 88DB3FE8 ldlar w8, [sp] // LDLAR_LR64_ldstexcl 11001000110xxxxx0xxxxxxxxxxxxxxx C8C124B7 ldlar x23, [x5] C8DD511D ldlar x29, [x8] C8D85DCF ldlar x15, [x14] C8CB068D ldlar x13, [x20] C8CF7711 ldlar x17, [x24] C8CC3AAD ldlar x13, [x21] C8DF39DC ldlar x28, [x14] C8C307C1 ldlar x1, [x30] C8D21319 ldlar x25, [x24] C8C36D54 ldlar x20, [x10] C8C573C8 ldlar x8, [x30] C8CE0BAA ldlar x10, [x29] C8C07533 ldlar x19, [x9] C8CB32CC ldlar x12, [x22] C8C622E6 ldlar x6, [x23] C8C14124 ldlar x4, [x9] // LDNP_32_ldstnapair_offs 0010100001xxxxxxxxxxxxxxxxxxxxxx 286515DC ldnp w28, w5, [x14, #-0xd8] 286B44E0 ldnp w0, w17, [x7, #-0xa8] 287DF9A8 ldnp w8, w30, [x13, #-0x14] 28729069 ldnp w9, w4, [x3, #-0x6c] 2850F913 ldnp w19, w30, [x8, #0x84] 2845CC4E ldnp w14, w19, [x2, #0x2c] 2852349E ldnp w30, w13, [x4, #0x90] 286A6B3B ldnp w27, w26, [x25, #-0xb0] 2872A269 ldnp w9, w8, [x19, #-0x6c] 287017A6 ldnp w6, w5, [x29, #-0x80] 284751F9 ldnp w25, w20, [x15, #0x38] 285D7AB1 ldnp w17, w30, [x21, #0xe8] 287D6814 ldnp w20, w26, [x0, #-0x18] 287E5FDC ldnp w28, w23, [x30, #-0x10] 285C9991 ldnp w17, w6, [x12, #0xe4] 2852EDBF ldnp wzr, w27, [x13, #0x94] // LDNP_64_ldstnapair_offs 1010100001xxxxxxxxxxxxxxxxxxxxxx A86520FA ldnp x26, x8, [x7, #-0x1b0] A857057F ldnp xzr, x1, [x11, #0x170] A877B9D7 ldnp x23, x14, [x14, #-0x88] A8755BD7 ldnp x23, x22, [x30, #-0xb0] A86D1AE2 ldnp x2, x6, [x23, #-0x130] A85ACB84 ldnp x4, x18, [x28, #0x1a8] A8783BFC ldnp x28, x14, [sp, #-0x80] A86B5121 ldnp x1, x20, [x9, #-0x150] A8491D67 ldnp x7, x7, [x11, #0x90] A846D7BC ldnp x28, x21, [x29, #0x68] A84F5161 ldnp x1, x20, [x11, #0xf0] A86996C9 ldnp x9, x5, [x22, #-0x168] A84B310C ldnp x12, x12, [x8, #0xb0] A855E20F ldnp x15, x24, [x16, #0x158] A86ADC9F ldnp xzr, x23, [x4, #-0x158] A8442467 ldnp x7, x9, [x3, #0x40] // LDNP_D_ldstnapair_offs 0110110001xxxxxxxxxxxxxxxxxxxxxx 6C778DF6 ldnp d22, d3, [x15, #-0x88] 6C7431EE ldnp d14, d12, [x15, #-0xc0] 6C462DC3 ldnp d3, d11, [x14, #0x60] 6C6D492F ldnp d15, d18, [x9, #-0x130] 6C75A758 ldnp d24, d9, [x26, #-0xa8] 6C447361 ldnp d1, d28, [x27, #0x40] 6C451956 ldnp d22, d6, [x10, #0x50] 6C6BBD8F ldnp d15, d15, [x12, #-328] 6C797BE9 ldnp d9, d30, [sp, #-0x70] 6C5205B4 ldnp d20, d1, [x13, #0x120] 6C5D9CFA ldnp d26, d7, [x7, #0x1d8] 6C49B2A9 ldnp d9, d12, [x21, #0x98] 6C605EF3 ldnp d19, d23, [x23, #-0x200] 6C63A584 ldnp d4, d9, [x12, #-0x1c8] 6C77929D ldnp d29, d4, [x20, #-0x88] 6C4E5BDC ldnp d28, d22, [x30, #0xe0] // LDNP_Q_ldstnapair_offs 1010110001xxxxxxxxxxxxxxxxxxxxxx AC5204CC ldnp q12, q1, [x6, #0x240] AC70B818 ldnp q24, q14, [x0, #-0x1f0] AC557AD0 ldnp q16, q30, [x22, #0x2a0] AC5318B0 ldnp q16, q6, [x5, #0x260] AC6BEF50 ldnp q16, q27, [x26, #-0x290] AC59C05C ldnp q28, q16, [x2, #0x330] AC4183B5 ldnp q21, q0, [x29, #0x30] AC434E75 ldnp q21, q19, [x19, #0x60] AC7E342E ldnp q14, q13, [x1, #-0x40] AC78DBD6 ldnp q22, q22, [x30, #-240] AC485E2C ldnp q12, q23, [x17, #0x100] AC52416A ldnp q10, q16, [x11, #0x240] AC4C626C ldnp q12, q24, [x19, #0x180] AC489CB7 ldnp q23, q7, [x5, #0x110] AC402ECA ldnp q10, q11, [x22] AC4276BC ldnp q28, q29, [x21, #0x40] // LDNP_S_ldstnapair_offs 0010110001xxxxxxxxxxxxxxxxxxxxxx 2C64F3AF ldnp s15, s28, [x29, #-0xdc] 2C72B062 ldnp s2, s12, [x3, #-0x6c] 2C7C0C3D ldnp s29, s3, [x1, #-0x20] 2C71A173 ldnp s19, s8, [x11, #-0x74] 2C6A5772 ldnp s18, s21, [x27, #-0xb0] 2C78779E ldnp s30, s29, [x28, #-0x40] 2C7D18C6 ldnp s6, s6, [x6, #-24] 2C4B9E55 ldnp s21, s7, [x18, #0x5c] 2C5655A6 ldnp s6, s21, [x13, #0xb0] 2C5F2FF3 ldnp s19, s11, [sp, #0xf8] 2C698867 ldnp s7, s2, [x3, #-0xb4] 2C6CFB2A ldnp s10, s30, [x25, #-0x9c] 2C6C3F64 ldnp s4, s15, [x27, #-0xa0] 2C7B2C7C ldnp s28, s11, [x3, #-0x28] 2C65C5F2 ldnp s18, s17, [x15, #-0xd4] 2C6A3AEE ldnp s14, s14, [x23, #-176] // LDPSW_64_ldstpair_off 0110100101xxxxxxxxxxxxxxxxxxxxxx 69723695 ldpsw x21, x13, [x20, #-0x70] 6973D979 ldpsw x25, x22, [x11, #-0x64] 695AEF7A ldpsw x26, x27, [x27, #0xd4] 696C647C ldpsw x28, x25, [x3, #-0xa0] 6973C70A ldpsw x10, x17, [x24, #-0x64] 697182DC ldpsw x28, x0, [x22, #-0x74] 696EC737 ldpsw x23, x17, [x25, #-0x8c] 694D8F78 ldpsw x24, x3, [x27, #0x6c] 696A0EC6 ldpsw x6, x3, [x22, #-0xb0] 696A6DB5 ldpsw x21, x27, [x13, #-0xb0] 69449067 ldpsw x7, x4, [x3, #0x24] 697EA929 ldpsw x9, x10, [x9, #-0xc] 697FA8B8 ldpsw x24, x10, [x5, #-0x4] 697D18A0 ldpsw x0, x6, [x5, #-0x18] 6945D43A ldpsw x26, x21, [x1, #0x2c] 69764C0C ldpsw x12, x19, [x0, #-0x50] // LDPSW_64_ldstpair_post 0110100011xxxxxxxxxxxxxxxxxxxxxx 68C747FB ldpsw x27, x17, [sp], #0x38 68FE9C0C ldpsw x12, x7, [x0], #-0xc 68CD3949 ldpsw x9, x14, [x10], #0x68 68C081CC ldpsw x12, x0, [x14], #0x4 68EF7AD8 ldpsw x24, x30, [x22], #-0x88 68D3A7F4 ldpsw x20, x9, [sp], #0x9c 68C6010A ldpsw x10, x0, [x8], #0x30 68C26C65 ldpsw x5, x27, [x3], #0x10 68D0A35B ldpsw x27, x8, [x26], #0x84 68C6EF0E ldpsw x14, x27, [x24], #0x34 68C223AE ldpsw x14, x8, [x29], #0x10 68F662CC ldpsw x12, x24, [x22], #-0x50 68D3C781 ldpsw x1, x17, [x28], #0x9c 68DD9B54 ldpsw x20, x6, [x26], #0xec 68D7DF75 ldpsw x21, x23, [x27], #0xbc 68C8063F ldpsw xzr, x1, [x17], #0x40 // LDPSW_64_ldstpair_pre 0110100111xxxxxxxxxxxxxxxxxxxxxx 69C25E13 ldpsw x19, x23, [x16, #0x10]! 69C9D26D ldpsw x13, x20, [x19, #0x4c]! 69F05FBB ldpsw x27, x23, [x29, #-0x80]! 69D3FC71 ldpsw x17, xzr, [x3, #0x9c]! 69D2D39F ldpsw xzr, x20, [x28, #0x94]! 69CB9FDD ldpsw x29, x7, [x30, #0x5c]! 69C8411C ldpsw x28, x16, [x8, #0x40]! 69CAEF0F ldpsw x15, x27, [x24, #0x54]! 69E6DB59 ldpsw x25, x22, [x26, #-0xcc]! 69C8F954 ldpsw x20, x30, [x10, #0x44]! 69E9EC1A ldpsw x26, x27, [x0, #-0xb4]! 69CF6CF5 ldpsw x21, x27, [x7, #0x78]! 69CF82AF ldpsw x15, x0, [x21, #0x7c]! 69D960C8 ldpsw x8, x24, [x6, #0xc8]! 69F761CC ldpsw x12, x24, [x14, #-0x48]! 69DF1BE1 ldpsw x1, x6, [sp, #0xf8]! // LDP_32_ldstpair_off 0010100101xxxxxxxxxxxxxxxxxxxxxx 2954DC57 ldp w23, w23, [x2, #0xa4] 2944FB15 ldp w21, w30, [x24, #0x24] 29534DF4 ldp w20, w19, [x15, #0x98] 29705E8E ldp w14, w23, [x20, #-0x80] 29427BF1 ldp w17, w30, [sp, #0x10] 294A4EB1 ldp w17, w19, [x21, #0x50] 2942D06B ldp w11, w20, [x3, #0x14] 296323EB ldp w11, w8, [sp, #-0xe8] 297CE8F0 ldp w16, w26, [x7, #-0x1c] 294EF5EA ldp w10, w29, [x15, #0x74] 29463A00 ldp w0, w14, [x16, #0x30] 29760618 ldp w24, w1, [x16, #-0x50] 294F0AE5 ldp w5, w2, [x23, #0x78] 2975E7E4 ldp w4, w25, [sp, #-0x54] 2976F11D ldp w29, w28, [x8, #-0x4c] 297C87B4 ldp w20, w1, [x29, #-0x1c] // LDP_32_ldstpair_post 0010100011xxxxxxxxxxxxxxxxxxxxxx 28FB9692 ldp w18, w5, [x20], #-0x24 28CF7BCE ldp w14, w30, [x30], #0x78 28F5773F ldp wzr, w29, [x25], #-0x58 28E02A92 ldp w18, w10, [x20], #-0x100 28EC81CE ldp w14, w0, [x14], #-156 28F02F4E ldp w14, w11, [x26], #-0x80 28C44AB4 ldp w20, w18, [x21], #0x20 28FAF828 ldp w8, w30, [x1], #-0x2c 28E8CCF5 ldp w21, w19, [x7], #-0xbc 28CC8674 ldp w20, w1, [x19], #0x64 28D971C8 ldp w8, w28, [x14], #0xc8 28F8D623 ldp w3, w21, [x17], #-0x3c 28CCEC2E ldp w14, w27, [x1], #0x64 28D3A6F6 ldp w22, w9, [x23], #0x9c 28C05006 ldp w6, w20, [x0], #0x0 28E325AC ldp w12, w9, [x13], #-0xe8 // LDP_32_ldstpair_pre 0010100111xxxxxxxxxxxxxxxxxxxxxx 29E7B96D ldp w13, w14, [x11, #-0xc4]! 29C64897 ldp w23, w18, [x4, #0x30]! 29E58521 ldp w1, w1, [x9, #-212]! 29C63C74 ldp w20, w15, [x3, #0x30]! 29EA30C0 ldp w0, w12, [x6, #-0xb0]! 29E128E7 ldp w7, w10, [x7, #-248]! 29C9A96D ldp w13, w10, [x11, #0x4c]! 29FDA2CF ldp w15, w8, [x22, #-0x14]! 29EB4997 ldp w23, w18, [x12, #-0xa8]! 29C35154 ldp w20, w20, [x10, #0x18]! 29EAFF74 ldp w20, wzr, [x27, #-0xac]! 29E0A58A ldp w10, w9, [x12, #-0xfc]! 29D22460 ldp w0, w9, [x3, #0x90]! 29FF609A ldp w26, w24, [x4, #-0x8]! 29EE5D2F ldp w15, w23, [x9, #-0x90]! 29F4974F ldp w15, w5, [x26, #-0x5c]! // LDP_64_ldstpair_off 1010100101xxxxxxxxxxxxxxxxxxxxxx A943A9CC ldp x12, x10, [x14, #0x38] A943EDE7 ldp x7, x27, [x15, #0x38] A9606DCD ldp x13, x27, [x14, #-0x200] A96C7609 ldp x9, x29, [x16, #-0x140] A9415800 ldp x0, x22, [x0, #0x10] A9648443 ldp x3, x1, [x2, #-0x1b8] A9471C1E ldp x30, x7, [x0, #0x70] A96CDBE0 ldp x0, x22, [sp, #-0x138] A947A61B ldp x27, x9, [x16, #0x78] A94F9458 ldp x24, x5, [x2, #0xf8] A97160FD ldp x29, x24, [x7, #-0xf0] A94A103B ldp x27, x4, [x1, #0xa0] A9485553 ldp x19, x21, [x10, #0x80] A96044F8 ldp x24, x17, [x7, #-0x200] A9533B14 ldp x20, x14, [x24, #0x130] A943A3ED ldp x13, x8, [sp, #0x38] // LDP_64_ldstpair_post 1010100011xxxxxxxxxxxxxxxxxxxxxx A8EA2124 ldp x4, x8, [x9], #-0x160 A8D2A344 ldp x4, x8, [x26], #0x128 A8DE261B ldp x27, x9, [x16], #0x1e0 A8DB8064 ldp x4, x0, [x3], #0x1b8 A8C972B6 ldp x22, x28, [x21], #0x90 A8F986D4 ldp x20, x1, [x22], #-0x68 A8C7AA96 ldp x22, x10, [x20], #0x78 A8F67145 ldp x5, x28, [x10], #-0xa0 A8DE7B47 ldp x7, x30, [x26], #0x1e0 A8DE9071 ldp x17, x4, [x3], #0x1e8 A8CA3421 ldp x1, x13, [x1], #0xa0 A8CBC636 ldp x22, x17, [x17], #0xb8 A8D64E7B ldp x27, x19, [x19], #0x160 A8C9F1EB ldp x11, x28, [x15], #0x98 A8E378F0 ldp x16, x30, [x7], #-0x1d0 A8D7B7AB ldp x11, x13, [x29], #0x178 // LDP_64_ldstpair_pre 1010100111xxxxxxxxxxxxxxxxxxxxxx A9EACE40 ldp x0, x19, [x18, #-0x158]! A9CA2EB7 ldp x23, x11, [x21, #0xa0]! A9E4690D ldp x13, x26, [x8, #-0x1c0]! A9E4DD96 ldp x22, x23, [x12, #-0x1b8]! A9EF1DC9 ldp x9, x7, [x14, #-0x110]! A9C28348 ldp x8, x0, [x26, #0x28]! A9C6C7CC ldp x12, x17, [x30, #0x68]! A9D5A102 ldp x2, x8, [x8, #0x158]! A9DCD3C7 ldp x7, x20, [x30, #0x1c8]! A9FD08BB ldp x27, x2, [x5, #-0x30]! A9FE6E01 ldp x1, x27, [x16, #-0x20]! A9D8DDCF ldp x15, x23, [x14, #0x188]! A9CF8890 ldp x16, x2, [x4, #0xf8]! A9DE724C ldp x12, x28, [x18, #0x1e0]! A9F803EE ldp x14, x0, [sp, #-0x80]! A9CCEB06 ldp x6, x26, [x24, #0xc8]! // LDP_D_ldstpair_off 0110110101xxxxxxxxxxxxxxxxxxxxxx 6D6A9158 ldp d24, d4, [x10, #-0x158] 6D45A55D ldp d29, d9, [x10, #0x58] 6D6F014A ldp d10, d0, [x10, #-0x110] 6D6C0C4B ldp d11, d3, [x2, #-0x140] 6D4A5539 ldp d25, d21, [x9, #0xa0] 6D52751D ldp d29, d29, [x8, #0x120] 6D5FBFCC ldp d12, d15, [x30, #0x1f8] 6D4F940A ldp d10, d5, [x0, #0xf8] 6D4DFB3E ldp d30, d30, [x25, #0xd8] 6D604223 ldp d3, d16, [x17, #-0x200] 6D75C9B3 ldp d19, d18, [x13, #-0xa8] 6D5FA210 ldp d16, d8, [x16, #0x1f8] 6D4C5284 ldp d4, d20, [x20, #0xc0] 6D5A7BB7 ldp d23, d30, [x29, #0x1a0] 6D7205ED ldp d13, d1, [x15, #-0xe0] 6D608517 ldp d23, d1, [x8, #-0x1f8] // LDP_D_ldstpair_post 0110110011xxxxxxxxxxxxxxxxxxxxxx 6CDF0B31 ldp d17, d2, [x25], #0x1f0 6CD93F9C ldp d28, d15, [x28], #0x190 6CE7B227 ldp d7, d12, [x17], #-0x188 6CD2B7EB ldp d11, d13, [sp], #0x128 6CCB57A5 ldp d5, d21, [x29], #0xb0 6CF9D8A1 ldp d1, d22, [x5], #-0x68 6CE20FED ldp d13, d3, [sp], #-0x1e0 6CFA2485 ldp d5, d9, [x4], #-0x60 6CE14E6A ldp d10, d19, [x19], #-0x1f0 6CCC388A ldp d10, d14, [x4], #0xc0 6CF7A588 ldp d8, d9, [x12], #-0x88 6CFAE3A1 ldp d1, d24, [x29], #-0x58 6CF8D30F ldp d15, d20, [x24], #-0x78 6CD8B4A8 ldp d8, d13, [x5], #0x188 6CC7E5BF ldp d31, d25, [x13], #0x78 6CEE4113 ldp d19, d16, [x8], #-0x120 // LDP_D_ldstpair_pre 0110110111xxxxxxxxxxxxxxxxxxxxxx 6DEF8DCC ldp d12, d3, [x14, #-0x108]! 6DD67819 ldp d25, d30, [x0, #0x160]! 6DEF2C42 ldp d2, d11, [x2, #-0x110]! 6DE11416 ldp d22, d5, [x0, #-0x1f0]! 6DDA1899 ldp d25, d6, [x4, #0x1a0]! 6DE6D26D ldp d13, d20, [x19, #-0x198]! 6DD8E15D ldp d29, d24, [x10, #0x188]! 6DE156F3 ldp d19, d21, [x23, #-0x1f0]! 6DC33DC3 ldp d3, d15, [x14, #0x30]! 6DF51F41 ldp d1, d7, [x26, #-0xb0]! 6DF07A37 ldp d23, d30, [x17, #-0x100]! 6DE11F24 ldp d4, d7, [x25, #-0x1f0]! 6DCE3D74 ldp d20, d15, [x11, #0xe0]! 6DD79C49 ldp d9, d7, [x2, #0x178]! 6DF56983 ldp d3, d26, [x12, #-0xb0]! 6DC81E1E ldp d30, d7, [x16, #0x80]! // LDP_Q_ldstpair_off 1010110101xxxxxxxxxxxxxxxxxxxxxx AD576824 ldp q4, q26, [x1, #0x2e0] AD581BDF ldp q31, q6, [x30, #0x300] AD6108C0 ldp q0, q2, [x6, #-0x3e0] AD41BEC6 ldp q6, q15, [x22, #0x30] AD6E929B ldp q27, q4, [x20, #-0x230] AD4CF0A4 ldp q4, q28, [x5, #0x190] AD5DC8FC ldp q28, q18, [x7, #0x3b0] AD7E7D1A ldp q26, q31, [x8, #-0x40] AD7B8177 ldp q23, q0, [x11, #-0x90] AD4F08BA ldp q26, q2, [x5, #0x1e0] AD44A5CD ldp q13, q9, [x14, #0x90] AD5B4DBA ldp q26, q19, [x13, #0x360] AD7840FF ldp q31, q16, [x7, #-0x100] AD651068 ldp q8, q4, [x3, #-0x360] AD6D1752 ldp q18, q5, [x26, #-0x260] AD6917B7 ldp q23, q5, [x29, #-0x2e0] // LDP_Q_ldstpair_post 1010110011xxxxxxxxxxxxxxxxxxxxxx ACDBB0B1 ldp q17, q12, [x5], #0x370 ACDD8BBA ldp q26, q2, [x29], #0x3b0 ACE552AE ldp q14, q20, [x21], #-0x360 ACEC89B2 ldp q18, q2, [x13], #-0x270 ACD5384D ldp q13, q14, [x2], #0x2a0 ACE0F074 ldp q20, q28, [x3], #-0x3f0 ACEBD013 ldp q19, q20, [x0], #-0x290 ACFC29C3 ldp q3, q10, [x14], #-0x80 ACDAF264 ldp q4, q28, [x19], #0x350 ACF154F0 ldp q16, q21, [x7], #-0x1e0 ACC25DF7 ldp q23, q23, [x15], #0x40 ACE47BF0 ldp q16, q30, [sp], #-0x380 ACD35190 ldp q16, q20, [x12], #0x260 ACEF1C67 ldp q7, q7, [x3], #-544 ACCDCB6F ldp q15, q18, [x27], #0x1b0 ACC6DF1F ldp q31, q23, [x24], #0xd0 // LDP_Q_ldstpair_pre 101011xxxxxxxxxxxxxxxxxxxxxxxxxx ADC5FF1D ldp q29, q31, [x24, #0xb0]! ADF85E37 ldp q23, q23, [x17, #-256]! ADFF7581 ldp q1, q29, [x12, #-0x20]! ADD54506 ldp q6, q17, [x8, #0x2a0]! ADFD81CE ldp q14, q0, [x14, #-0x50]! ADFCD210 ldp q16, q20, [x16, #-0x70]! ADE6C53E ldp q30, q17, [x9, #-0x330]! ADE1ECBA ldp q26, q27, [x5, #-0x3d0]! ADF0DBA1 ldp q1, q22, [x29, #-0x1f0]! ADD8BEFA ldp q26, q15, [x23, #0x310]! ADEE0408 ldp q8, q1, [x0, #-0x240]! ADE64710 ldp q16, q17, [x24, #-0x340]! ADE850C8 ldp q8, q20, [x6, #-0x300]! ADCA5896 ldp q22, q22, [x4, #0x140]! ADF24F96 ldp q22, q19, [x28, #-0x1c0]! ADCAA128 ldp q8, q8, [x9, #0x150]! // LDP_S_ldstpair_off 0010110101xxxxxxxxxxxxxxxxxxxxxx 2D7D06B7 ldp s23, s1, [x21, #-0x18] 2D59B3BB ldp s27, s12, [x29, #0xcc] 2D61E3DF ldp s31, s24, [x30, #-0xf4] 2D7C8FAC ldp s12, s3, [x29, #-0x1c] 2D4AE9BD ldp s29, s26, [x13, #0x54] 2D4B10A5 ldp s5, s4, [x5, #0x58] 2D75F815 ldp s21, s30, [x0, #-0x54] 2D7A8B86 ldp s6, s2, [x28, #-0x2c] 2D4FF6B0 ldp s16, s29, [x21, #0x7c] 2D69A3E6 ldp s6, s8, [sp, #-0xb4] 2D44CB5C ldp s28, s18, [x26, #0x24] 2D7CF231 ldp s17, s28, [x17, #-0x1c] 2D748373 ldp s19, s0, [x27, #-0x5c] 2D5F6CDD ldp s29, s27, [x6, #0xf8] 2D420FBB ldp s27, s3, [x29, #0x10] 2D697D05 ldp s5, s31, [x8, #-0xb8] // LDP_S_ldstpair_post 0010110011xxxxxxxxxxxxxxxxxxxxxx 2CFA575E ldp s30, s21, [x26], #-0x30 2CC51266 ldp s6, s4, [x19], #0x28 2CCCA616 ldp s22, s9, [x16], #0x64 2CE521AE ldp s14, s8, [x13], #-0xd8 2CCCED60 ldp s0, s27, [x11], #0x64 2CD3072A ldp s10, s1, [x25], #0x98 2CFD1C7E ldp s30, s7, [x3], #-0x18 2CF541B4 ldp s20, s16, [x13], #-0x58 2CDBF60D ldp s13, s29, [x16], #0xdc 2CD1C821 ldp s1, s18, [x1], #0x8c 2CEA7F38 ldp s24, s31, [x25], #-0xb0 2CF19456 ldp s22, s5, [x2], #-0x74 2CDF1BAC ldp s12, s6, [x29], #0xf8 2CD899CD ldp s13, s6, [x14], #0xc4 2CC1BD38 ldp s24, s15, [x9], #0xc 2CE90128 ldp s8, s0, [x9], #-0xb8 // LDP_S_ldstpair_pre 0010110111xxxxxxxxxxxxxxxxxxxxxx 2DDBB2DC ldp s28, s12, [x22, #0xdc]! 2DE7EE43 ldp s3, s27, [x18, #-0xc4]! 2DF0FC80 ldp s0, s31, [x4, #-0x7c]! 2DF79C8F ldp s15, s7, [x4, #-0x44]! 2DED05B6 ldp s22, s1, [x13, #-0x98]! 2DD16D64 ldp s4, s27, [x11, #0x88]! 2DFAC3A5 ldp s5, s16, [x29, #-0x2c]! 2DEDAA49 ldp s9, s10, [x18, #-0x94]! 2DC8EE4A ldp s10, s27, [x18, #0x44]! 2DF7AF14 ldp s20, s11, [x24, #-0x44]! 2DF3337F ldp s31, s12, [x27, #-0x68]! 2DD34FB1 ldp s17, s19, [x29, #0x98]! 2DFA52E0 ldp s0, s20, [x23, #-0x30]! 2DF60FCB ldp s11, s3, [x30, #-0x50]! 2DD3E981 ldp s1, s26, [x12, #0x9c]! 2DC58584 ldp s4, s1, [x12, #0x2c]! // LDRAA_64W_ldst_pac 111110000x1xxxxxxxxxxxxxxxxxxxxx F8279E2E ldraa x14, [x17, #0x3c8]! F8388CA3 ldraa x3, [x5, #0xc40]! F8705CF3 ldraa x19, [x7, #-0x7d8]! F837FE17 ldraa x23, [x16, #0xbf8]! F8227F00 ldraa x0, [x24, #0x138]! F8295C90 ldraa x16, [x4, #0x4a8]! F862CEDC ldraa x28, [x22, #-0xea0]! F8230F61 ldraa x1, [x27, #0x180]! F8745D0C ldraa x12, [x8, #-0x5d8]! F830CF67 ldraa x7, [x27, #0x860]! F82EBE1D ldraa x29, [x16, #0x758]! F83DFDBA ldraa x26, [x13, #0xef8]! F8673DFC ldraa x28, [x15, #-0xc68]! F8649DDC ldraa x28, [x14, #-0xdb8]! F8342C43 ldraa x3, [x2, #0xa10]! F8656CFF ldraa xzr, [x7, #-0xd50]! // LDRAA_64_ldst_pac 111110000x1xxxxxxxxxxxxxxxxxxxxx F8246596 ldraa x22, [x12, #0x230] F87734B0 ldraa x16, [x5, #-0x468] F861746E ldraa x14, [x3, #-0xf48] F86F8687 ldraa x7, [x20, #-0x840] F87CD51B ldraa x27, [x8, #-0x198] F828A424 ldraa x4, [x1, #0x450] F83B659E ldraa x30, [x12, #0xdb0] F861865C ldraa x28, [x18, #-0xf40] F87AC483 ldraa x3, [x4, #-0x2a0] F8226729 ldraa x9, [x25, #0x130] F86255AD ldraa x13, [x13, #-0xed8] F868C66E ldraa x14, [x19, #-0xba0] F8219493 ldraa x19, [x4, #0xc8] F872943B ldraa x27, [x1, #-0x6b8] F872F4E9 ldraa x9, [x7, #-0x688] F87EF558 ldraa x24, [x10, #-0x88] // LDRAB_64W_ldst_pac 111110001x1xxxxxxxxx11xxxxxxxxxx F8AC1C5A ldrab x26, [x2, #0x608]! F8F4FE0A ldrab x10, [x16, #-0x588]! F8B82D3F ldrab xzr, [x9, #0xc10]! F8A98FE2 ldrab x2, [sp, #0x4c0]! F8BC9FF8 ldrab x24, [sp, #0xe48]! F8B62C33 ldrab x19, [x1, #0xb10]! F8A5FD99 ldrab x25, [x12, #0x2f8]! F8BBECDD ldrab x29, [x6, #0xdf0]! F8FF3F57 ldrab x23, [x26, #-0x68]! F8A61E4F ldrab x15, [x18, #0x308]! F8E23DD3 ldrab x19, [x14, #-0xee8]! F8FF6E31 ldrab x17, [x17, #-0x50]! F8B21EC5 ldrab x5, [x22, #0x908]! F8B4BE6E ldrab x14, [x19, #0xa58]! F8EEFF53 ldrab x19, [x26, #-0x888]! F8AECDFC ldrab x28, [x15, #0x760]! // LDRAB_64_ldst_pac 111110001x1xxxxxxxxxxxxxxxxxxxxx F8B9B5BA ldrab x26, [x13, #0xcd8] F8AFF79C ldrab x28, [x28, #0x7f8] F8B674D0 ldrab x16, [x6, #0xb38] F8BD776D ldrab x13, [x27, #0xeb8] F8A0F55E ldrab x30, [x10, #0x78] F8A324CF ldrab x15, [x6, #0x190] F8A05458 ldrab x24, [x2, #0x28] F8AEC511 ldrab x17, [x8, #0x760] F8FDE6A2 ldrab x2, [x21, #-0x110] F8B895C1 ldrab x1, [x14, #0xc48] F8A3B6F1 ldrab x17, [x23, #0x1d8] F8FFB40C ldrab x12, [x0, #-0x28] F8ABB698 ldrab x24, [x20, #0x5d8] F8E78680 ldrab x0, [x20, #-0xc40] F8BFF5D6 ldrab x22, [x14, #0xff8] F8BA27C1 ldrab x1, [x30, #0xd10] // LDRB_32BL_ldst_regoff 00111000011xxxxx011x10xxxxxxxxxx 387E7B04 ldrb w4, [x24, x30, lsl #0x0] 387C6B8E ldrb w14, [x28, x28] 387079FB ldrb w27, [x15, x16, lsl #0x0] 38637AB5 ldrb w21, [x21, x3, lsl #0x0] 38666B43 ldrb w3, [x26, x6] 38766BAA ldrb w10, [x29, x22] 3874785B ldrb w27, [x2, x20, lsl #0x0] 38756BCE ldrb w14, [x30, x21] 38786A08 ldrb w8, [x16, x24] 386768E4 ldrb w4, [x7, x7] 38666A04 ldrb w4, [x16, x6] 38636AAB ldrb w11, [x21, x3] 38736A20 ldrb w0, [x17, x19] 386769F5 ldrb w21, [x15, x7] 386D7AF4 ldrb w20, [x23, x13, lsl #0x0] 387B6BC0 ldrb w0, [x30, x27] // LDRB_32B_ldst_regoff 00111000011xxxxxx1xx10xxxxxxxxxx 3863E8A0 ldrb w0, [x5, x3, sxtx] 3862F9C1 ldrb w1, [x14, x2, sxtx #0x0] 387FC95F ldrb wzr, [x10, wzr, sxtw] 387B4BD5 ldrb w21, [x30, w27, uxtw] 3860DA11 ldrb w17, [x16, w0, sxtw #0x0] 38674A22 ldrb w2, [x17, w7, uxtw] 387D496D ldrb w13, [x11, w29, uxtw] 387D4A9B ldrb w27, [x20, w29, uxtw] 387B4BCB ldrb w11, [x30, w27, uxtw] 3862D9DF ldrb wzr, [x14, w2, sxtw #0x0] 387459D9 ldrb w25, [x14, w20, uxtw #0x0] 386DE871 ldrb w17, [x3, x13, sxtx] 38715ADC ldrb w28, [x22, w17, uxtw #0x0] 387EE831 ldrb w17, [x1, x30, sxtx] 3876DB9B ldrb w27, [x28, w22, sxtw #0x0] 386D5A29 ldrb w9, [x17, w13, uxtw #0x0] // LDRB_32_ldst_immpost 00111000010xxxxxxxxx01xxxxxxxxxx 384C27C8 ldrb w8, [x30], #0xc2 3841F605 ldrb w5, [x16], #0x1f 38494514 ldrb w20, [x8], #0x94 3846547F ldrb wzr, [x3], #0x65 384B44D4 ldrb w20, [x6], #0xb4 385BD5EC ldrb w12, [x15], #-0x43 38557590 ldrb w16, [x12], #-0xa9 385E15F3 ldrb w19, [x15], #-0x1f 3848C707 ldrb w7, [x24], #0x8c 38548632 ldrb w18, [x17], #-0xb8 385E56B4 ldrb w20, [x21], #-0x1b 3857143E ldrb w30, [x1], #-0x8f 3840D5BE ldrb w30, [x13], #0xd 385BD70C ldrb w12, [x24], #-0x43 384C95F9 ldrb w25, [x15], #0xc9 384CD501 ldrb w1, [x8], #0xcd // LDRB_32_ldst_immpre 00111000010xxxxxxxxx11xxxxxxxxxx 38485CB3 ldrb w19, [x5, #0x85]! 3856DEDA ldrb w26, [x22, #-0x93]! 384CEF2F ldrb w15, [x25, #0xce]! 384BEECA ldrb w10, [x22, #0xbe]! 38597CF2 ldrb w18, [x7, #-0x69]! 3858FCEE ldrb w14, [x7, #-0x71]! 384C6E9E ldrb w30, [x20, #0xc6]! 3846CE70 ldrb w16, [x19, #0x6c]! 385D7E2E ldrb w14, [x17, #-0x29]! 3842BC3C ldrb w28, [x1, #0x2b]! 384E0D26 ldrb w6, [x9, #0xe0]! 38549D53 ldrb w19, [x10, #-0xb7]! 38517FA9 ldrb w9, [x29, #-0xe9]! 3841EC16 ldrb w22, [x0, #0x1e]! 38405F16 ldrb w22, [x24, #0x5]! 38468E62 ldrb w2, [x19, #0x68]! // LDRB_32_ldst_pos 0011100101xxxxxxxxxxxxxxxxxxxxxx 394FEDDF ldrb wzr, [x14, #0x3fb] 3972C0D4 ldrb w20, [x6, #0xcb0] 3955B626 ldrb w6, [x17, #0x56d] 39787A8A ldrb w10, [x20, #0xe1e] 39658350 ldrb w16, [x26, #0x960] 397B1572 ldrb w18, [x11, #0xec5] 39612FB3 ldrb w19, [x29, #0x84b] 396D4032 ldrb w18, [x1, #0xb50] 39711E3E ldrb w30, [x17, #0xc47] 39790AAC ldrb w12, [x21, #0xe42] 394D7481 ldrb w1, [x4, #0x35d] 39433E84 ldrb w4, [x20, #0xcf] 394CE4AB ldrb w11, [x5, #0x339] 39411455 ldrb w21, [x2, #0x45] 3945F65E ldrb w30, [x18, #0x17d] 396A0CC6 ldrb w6, [x6, #0xa83] // LDRH_32_ldst_immpost 01111000010xxxxxxxxx01xxxxxxxxxx 7856D6B2 ldrh w18, [x21], #-0x93 784C04FF ldrh wzr, [x7], #0xc0 784F274C ldrh w12, [x26], #0xf2 784714F7 ldrh w23, [x7], #0x71 784CE728 ldrh w8, [x25], #0xce 784165DC ldrh w28, [x14], #0x16 784B1659 ldrh w25, [x18], #0xb1 785CA666 ldrh w6, [x19], #-0x36 7849D520 ldrh w0, [x9], #0x9d 785F3768 ldrh w8, [x27], #-0xd 785564EC ldrh w12, [x7], #-0xaa 784D94D0 ldrh w16, [x6], #0xd9 785BE5A6 ldrh w6, [x13], #-0x42 785B4651 ldrh w17, [x18], #-0x4c 784C8774 ldrh w20, [x27], #0xc8 784A652C ldrh w12, [x9], #0xa6 // LDRH_32_ldst_immpre 01111000010xxxxxxxxx11xxxxxxxxxx 78514E82 ldrh w2, [x20, #-0xec]! 784F5EE7 ldrh w7, [x23, #0xf5]! 7857FEAF ldrh w15, [x21, #-0x81]! 78546FC5 ldrh w5, [x30, #-0xba]! 78464DE3 ldrh w3, [x15, #0x64]! 785CFC8F ldrh w15, [x4, #-0x31]! 78401D3A ldrh w26, [x9, #0x1]! 785C2D3D ldrh w29, [x9, #-0x3e]! 7853EE83 ldrh w3, [x20, #-0xc2]! 785BCE5C ldrh w28, [x18, #-0x44]! 78583F56 ldrh w22, [x26, #-0x7d]! 78460D0B ldrh w11, [x8, #0x60]! 78553F52 ldrh w18, [x26, #-0xad]! 784B4E49 ldrh w9, [x18, #0xb4]! 784DAE48 ldrh w8, [x18, #0xda]! 78426F71 ldrh w17, [x27, #0x26]! // LDRH_32_ldst_pos 0111100101xxxxxxxxxxxxxxxxxxxxxx 796A1159 ldrh w25, [x10, #0x1508] 7943DC7A ldrh w26, [x3, #0x1ee] 796B0ADD ldrh w29, [x22, #0x1584] 797369E2 ldrh w2, [x15, #0x19b4] 79633996 ldrh w22, [x12, #0x119c] 7960FC7E ldrh w30, [x3, #0x107e] 796726D1 ldrh w17, [x22, #0x1392] 7977CEE6 ldrh w6, [x23, #0x1be6] 797211B2 ldrh w18, [x13, #0x1908] 79482A1B ldrh w27, [x16, #0x414] 7975B452 ldrh w18, [x2, #0x1ada] 797AB0E3 ldrh w3, [x7, #0x1d58] 794C38E2 ldrh w2, [x7, #0x61c] 796EDC79 ldrh w25, [x3, #0x176e] 797F08A8 ldrh w8, [x5, #0x1f84] 79539F8B ldrh w11, [x28, #0x9ce] // LDRH_32_ldst_regoff 01111000011xxxxxx1xx10xxxxxxxxxx 7861CA2A ldrh w10, [x17, w1, sxtw] 787D4961 ldrh w1, [x11, w29, uxtw] 786EE87B ldrh w27, [x3, x14, sxtx] 7861E8B0 ldrh w16, [x5, x1, sxtx] 787CC90D ldrh w13, [x8, w28, sxtw] 7870E876 ldrh w22, [x3, x16, sxtx] 7867D85C ldrh w28, [x2, w7, sxtw #0x1] 7860EB78 ldrh w24, [x27, x0, sxtx] 787F79BA ldrh w26, [x13, xzr, lsl #0x1] 7872E937 ldrh w23, [x9, x18, sxtx] 7878F908 ldrh w8, [x8, x24, sxtx #0x1] 787B6A18 ldrh w24, [x16, x27] 7873F8E5 ldrh w5, [x7, x19, sxtx #0x1] 786AFAF9 ldrh w25, [x23, x10, sxtx #0x1] 786759C7 ldrh w7, [x14, w7, uxtw #0x1] 786A4BE7 ldrh w7, [sp, w10, uxtw] // LDRSB_32BL_ldst_regoff 00111000111xxxxx011x10xxxxxxxxxx 38E86B86 ldrsb w6, [x28, x8] 38E16BA2 ldrsb w2, [x29, x1] 38FB7BB0 ldrsb w16, [x29, x27, lsl #0x0] 38E17913 ldrsb w19, [x8, x1, lsl #0x0] 38E26986 ldrsb w6, [x12, x2] 38EC7894 ldrsb w20, [x4, x12, lsl #0x0] 38F969A1 ldrsb w1, [x13, x25] 38E06843 ldrsb w3, [x2, x0] 38EC78BF ldrsb wzr, [x5, x12, lsl #0x0] 38E17AE3 ldrsb w3, [x23, x1, lsl #0x0] 38EE79DF ldrsb wzr, [x14, x14, lsl #0x0] 38F56854 ldrsb w20, [x2, x21] 38E069B7 ldrsb w23, [x13, x0] 38EB69B2 ldrsb w18, [x13, x11] 38E879C9 ldrsb w9, [x14, x8, lsl #0x0] 38FF7963 ldrsb w3, [x11, xzr, lsl #0x0] // LDRSB_32B_ldst_regoff 00111000111xxxxxx1xx10xxxxxxxxxx 38F44831 ldrsb w17, [x1, w20, uxtw] 38F249F9 ldrsb w25, [x15, w18, uxtw] 38F95823 ldrsb w3, [x1, w25, uxtw #0x0] 38FBEACC ldrsb w12, [x22, x27, sxtx] 38F6F80A ldrsb w10, [x0, x22, sxtx #0x0] 38E24B34 ldrsb w20, [x25, w2, uxtw] 38FD5AC1 ldrsb w1, [x22, w29, uxtw #0x0] 38F0EB08 ldrsb w8, [x24, x16, sxtx] 38EEF927 ldrsb w7, [x9, x14, sxtx #0x0] 38ED4828 ldrsb w8, [x1, w13, uxtw] 38EFC8AD ldrsb w13, [x5, w15, sxtw] 38E24867 ldrsb w7, [x3, w2, uxtw] 38EBE9C4 ldrsb w4, [x14, x11, sxtx] 38E9CA91 ldrsb w17, [x20, w9, sxtw] 38E6597B ldrsb w27, [x11, w6, uxtw #0x0] 38FDFB93 ldrsb w19, [x28, x29, sxtx #0x0] // LDRSB_32_ldst_immpost 00111000110xxxxxxxxx01xxxxxxxxxx 38D4659D ldrsb w29, [x12], #-0xba 38CD6742 ldrsb w2, [x26], #0xd6 38CB6755 ldrsb w21, [x26], #0xb6 38DC8564 ldrsb w4, [x11], #-0x38 38CB47CA ldrsb w10, [x30], #0xb4 38C60462 ldrsb w2, [x3], #0x60 38D8A7EE ldrsb w14, [sp], #-0x76 38DE34FB ldrsb w27, [x7], #-0x1d 38CC053F ldrsb wzr, [x9], #0xc0 38D8859C ldrsb w28, [x12], #-0x78 38C8E64E ldrsb w14, [x18], #0x8e 38CDB69B ldrsb w27, [x20], #0xdb 38C3D594 ldrsb w20, [x12], #0x3d 38CEE572 ldrsb w18, [x11], #0xee 38C58640 ldrsb w0, [x18], #0x58 38D1C779 ldrsb w25, [x27], #-0xe4 // LDRSB_32_ldst_immpre 00111000110xxxxxxxxx11xxxxxxxxxx 38DCCD76 ldrsb w22, [x11, #-0x34]! 38DFDD88 ldrsb w8, [x12, #-0x3]! 38CABC52 ldrsb w18, [x2, #0xab]! 38CFCC38 ldrsb w24, [x1, #0xfc]! 38D87C85 ldrsb w5, [x4, #-0x79]! 38DCBC97 ldrsb w23, [x4, #-0x35]! 38C26CF5 ldrsb w21, [x7, #0x26]! 38D03E09 ldrsb w9, [x16, #-0xfd]! 38D36D4C ldrsb w12, [x10, #-0xca]! 38C47D3A ldrsb w26, [x9, #0x47]! 38DD4C4F ldrsb w15, [x2, #-0x2c]! 38D89EB0 ldrsb w16, [x21, #-0x77]! 38DC5F86 ldrsb w6, [x28, #-0x3b]! 38D11F34 ldrsb w20, [x25, #-0xef]! 38D60DB7 ldrsb w23, [x13, #-0xa0]! 38DBDE94 ldrsb w20, [x20, #-67]! // LDRSB_32_ldst_pos 0011100111xxxxxxxxxxxxxxxxxxxxxx 39E28D75 ldrsb w21, [x11, #0x8a3] 39C6A370 ldrsb w16, [x27, #0x1a8] 39E57F13 ldrsb w19, [x24, #0x95f] 39CECBFC ldrsb w28, [sp, #0x3b2] 39E1694A ldrsb w10, [x10, #0x85a] 39D8A60D ldrsb w13, [x16, #0x629] 39CF3A30 ldrsb w16, [x17, #0x3ce] 39FAC893 ldrsb w19, [x4, #0xeb2] 39D3B395 ldrsb w21, [x28, #0x4ec] 39CECC29 ldrsb w9, [x1, #0x3b3] 39C20DEC ldrsb w12, [x15, #0x83] 39DFCFD7 ldrsb w23, [x30, #0x7f3] 39D45761 ldrsb w1, [x27, #0x515] 39DA169A ldrsb w26, [x20, #0x685] 39F51B0E ldrsb w14, [x24, #0xd46] 39DE7AC1 ldrsb w1, [x22, #0x79e] // LDRSB_64BL_ldst_regoff 00111000101xxxxx011x10xxxxxxxxxx 38B07922 ldrsb x2, [x9, x16, lsl #0x0] 38A07997 ldrsb x23, [x12, x0, lsl #0x0] 38A06A1C ldrsb x28, [x16, x0] 38A37951 ldrsb x17, [x10, x3, lsl #0x0] 38A37AFE ldrsb x30, [x23, x3, lsl #0x0] 38AD6A55 ldrsb x21, [x18, x13] 38BB7982 ldrsb x2, [x12, x27, lsl #0x0] 38AB7824 ldrsb x4, [x1, x11, lsl #0x0] 38AF79E2 ldrsb x2, [x15, x15, lsl #0x0] 38BF699B ldrsb x27, [x12, xzr] 38BB790E ldrsb x14, [x8, x27, lsl #0x0] 38A97A84 ldrsb x4, [x20, x9, lsl #0x0] 38BC7A42 ldrsb x2, [x18, x28, lsl #0x0] 38B978B4 ldrsb x20, [x5, x25, lsl #0x0] 38A17B7E ldrsb x30, [x27, x1, lsl #0x0] 38AF78D1 ldrsb x17, [x6, x15, lsl #0x0] // LDRSB_64B_ldst_regoff 00111000101xxxxxx1xx10xxxxxxxxxx 38BD59F0 ldrsb x16, [x15, w29, uxtw #0x0] 38A1FB13 ldrsb x19, [x24, x1, sxtx #0x0] 38B04A07 ldrsb x7, [x16, w16, uxtw] 38A1FAE4 ldrsb x4, [x23, x1, sxtx #0x0] 38A24A73 ldrsb x19, [x19, w2, uxtw] 38A0E992 ldrsb x18, [x12, x0, sxtx] 38AE5949 ldrsb x9, [x10, w14, uxtw #0x0] 38A3D865 ldrsb x5, [x3, w3, sxtw #0x0] 38BB5A1F ldrsb xzr, [x16, w27, uxtw #0x0] 38A0E8F6 ldrsb x22, [x7, x0, sxtx] 38A85ACD ldrsb x13, [x22, w8, uxtw #0x0] 38A84A2F ldrsb x15, [x17, w8, uxtw] 38A25A4C ldrsb x12, [x18, w2, uxtw #0x0] 38A45A3D ldrsb x29, [x17, w4, uxtw #0x0] 38A7E95F ldrsb xzr, [x10, x7, sxtx] 38A848EB ldrsb x11, [x7, w8, uxtw] // LDRSB_64_ldst_immpost 00111000100xxxxxxxxx01xxxxxxxxxx 389F74C9 ldrsb x9, [x6], #-0x9 3884F64D ldrsb x13, [x18], #0x4f 389D66B5 ldrsb x21, [x21], #-0x2a 3899E537 ldrsb x23, [x9], #-0x62 3889C754 ldrsb x20, [x26], #0x9c 3896A568 ldrsb x8, [x11], #-0x96 389FB425 ldrsb x5, [x1], #-0x5 389B641A ldrsb x26, [x0], #-0x4a 388A34D4 ldrsb x20, [x6], #0xa3 3890F6F0 ldrsb x16, [x23], #-0xf1 389637BF ldrsb xzr, [x29], #-0x9d 388BA577 ldrsb x23, [x11], #0xba 388115B4 ldrsb x20, [x13], #0x11 388BB4B7 ldrsb x23, [x5], #0xbb 3889B788 ldrsb x8, [x28], #0x9b 389CA451 ldrsb x17, [x2], #-0x36 // LDRSB_64_ldst_immpre 00111000100xxxxxxxxx11xxxxxxxxxx 389BDF6D ldrsb x13, [x27, #-0x43]! 3895AF7D ldrsb x29, [x27, #-0xa6]! 38971E8B ldrsb x11, [x20, #-0x8f]! 38837CD5 ldrsb x21, [x6, #0x37]! 389CECC3 ldrsb x3, [x6, #-0x32]! 389CBE16 ldrsb x22, [x16, #-0x35]! 38915D49 ldrsb x9, [x10, #-0xeb]! 38994EA4 ldrsb x4, [x21, #-0x6c]! 38933F69 ldrsb x9, [x27, #-0xcd]! 3892FDEA ldrsb x10, [x15, #-0xd1]! 389D5D60 ldrsb x0, [x11, #-0x2b]! 389CBEE4 ldrsb x4, [x23, #-0x35]! 3891AD81 ldrsb x1, [x12, #-0xe6]! 388E8C46 ldrsb x6, [x2, #0xe8]! 38832DEC ldrsb x12, [x15, #0x32]! 38974EFD ldrsb x29, [x23, #-0x8c]! // LDRSB_64_ldst_pos 0011100110xxxxxxxxxxxxxxxxxxxxxx 39BDEF57 ldrsb x23, [x26, #0xf7b] 39BB1345 ldrsb x5, [x26, #0xec4] 39A62BC2 ldrsb x2, [x30, #0x98a] 3990FA28 ldrsb x8, [x17, #0x43e] 3990625F ldrsb xzr, [x18, #0x418] 39BC9870 ldrsb x16, [x3, #0xf26] 39B7A007 ldrsb x7, [x0, #0xde8] 39BC950D ldrsb x13, [x8, #0xf25] 39BF46DD ldrsb x29, [x22, #0xfd1] 39BE05F3 ldrsb x19, [x15, #0xf81] 39A3FCBF ldrsb xzr, [x5, #0x8ff] 39ADE0CF ldrsb x15, [x6, #0xb78] 39BEEAA6 ldrsb x6, [x21, #0xfba] 3994DFD1 ldrsb x17, [x30, #0x537] 39B6DC06 ldrsb x6, [x0, #0xdb7] 3998E413 ldrsb x19, [x0, #0x639] // LDRSH_32_ldst_immpost 01111000110xxxxxxxxx01xxxxxxxxxx 78D5744E ldrsh w14, [x2], #-0xa9 78CEC54A ldrsh w10, [x10], #0xec 78C3466F ldrsh w15, [x19], #0x34 78D1356B ldrsh w11, [x11], #-237 78C9352D ldrsh w13, [x9], #0x93 78CC1498 ldrsh w24, [x4], #0xc1 78D74442 ldrsh w2, [x2], #-140 78C9D739 ldrsh w25, [x25], #0x9d 78CA35B7 ldrsh w23, [x13], #0xa3 78D586EA ldrsh w10, [x23], #-0xa8 78CAF69A ldrsh w26, [x20], #0xaf 78CC965A ldrsh w26, [x18], #0xc9 78D6D41A ldrsh w26, [x0], #-0x93 78D7E709 ldrsh w9, [x24], #-0x82 78C83586 ldrsh w6, [x12], #0x83 78C92564 ldrsh w4, [x11], #0x92 // LDRSH_32_ldst_immpre 01111000110xxxxxxxxx11xxxxxxxxxx 78CC7E14 ldrsh w20, [x16, #0xc7]! 78C13CA6 ldrsh w6, [x5, #0x13]! 78D05C75 ldrsh w21, [x3, #-0xfb]! 78C76EE1 ldrsh w1, [x23, #0x76]! 78C41DE1 ldrsh w1, [x15, #0x41]! 78CB9E62 ldrsh w2, [x19, #0xb9]! 78D2CD67 ldrsh w7, [x11, #-0xd4]! 78D5BF31 ldrsh w17, [x25, #-0xa5]! 78D7FEF5 ldrsh w21, [x23, #-0x81]! 78D46E63 ldrsh w3, [x19, #-0xba]! 78D96CBA ldrsh w26, [x5, #-0x6a]! 78D1BF17 ldrsh w23, [x24, #-0xe5]! 78C2FC5D ldrsh w29, [x2, #0x2f]! 78C9FD58 ldrsh w24, [x10, #0x9f]! 78CAEC59 ldrsh w25, [x2, #0xae]! 78C55D46 ldrsh w6, [x10, #0x55]! // LDRSH_32_ldst_pos 0111100111xxxxxxxxxxxxxxxxxxxxxx 79FED1EF ldrsh w15, [x15, #0x1f68] 79EBC360 ldrsh w0, [x27, #0x15e0] 79CD6D8A ldrsh w10, [x12, #0x6b6] 79E3C4EE ldrsh w14, [x7, #0x11e2] 79DE71B9 ldrsh w25, [x13, #0xf38] 79C73540 ldrsh w0, [x10, #0x39a] 79CC9A54 ldrsh w20, [x18, #0x64c] 79EED3FF ldrsh wzr, [sp, #0x1768] 79D988B7 ldrsh w23, [x5, #0xcc4] 79F1AC33 ldrsh w19, [x1, #0x18d6] 79C3EB05 ldrsh w5, [x24, #0x1f4] 79CCBAAC ldrsh w12, [x21, #0x65c] 79EB1A89 ldrsh w9, [x20, #0x158c] 79D78B3D ldrsh w29, [x25, #0xbc4] 79DF217E ldrsh w30, [x11, #0xf90] 79E44263 ldrsh w3, [x19, #0x1220] // LDRSH_32_ldst_regoff 01111000111xxxxxx1xx10xxxxxxxxxx 78F06B68 ldrsh w8, [x27, x16] 78E149B6 ldrsh w22, [x13, w1, uxtw] 78FF6B11 ldrsh w17, [x24, xzr] 78F74ADD ldrsh w29, [x22, w23, uxtw] 78ED4A4B ldrsh w11, [x18, w13, uxtw] 78E6F9C1 ldrsh w1, [x14, x6, sxtx #0x1] 78F2F9FD ldrsh w29, [x15, x18, sxtx #0x1] 78E248CE ldrsh w14, [x6, w2, uxtw] 78E7FA5C ldrsh w28, [x18, x7, sxtx #0x1] 78EFD9F7 ldrsh w23, [x15, w15, sxtw #0x1] 78E9E91E ldrsh w30, [x8, x9, sxtx] 78EDC926 ldrsh w6, [x9, w13, sxtw] 78EE4819 ldrsh w25, [x0, w14, uxtw] 78EFFA93 ldrsh w19, [x20, x15, sxtx #0x1] 78E9FB82 ldrsh w2, [x28, x9, sxtx #0x1] 78FDF9FE ldrsh w30, [x15, x29, sxtx #0x1] // LDRSH_64_ldst_immpost 01111000100xxxxxxxxx01xxxxxxxxxx 789E0500 ldrsh x0, [x8], #-0x20 7897A540 ldrsh x0, [x10], #-0x86 788FA754 ldrsh x20, [x26], #0xfa 7891663B ldrsh x27, [x17], #-0xea 789CA439 ldrsh x25, [x1], #-0x36 789E44AC ldrsh x12, [x5], #-0x1c 788207F8 ldrsh x24, [sp], #0x20 78885686 ldrsh x6, [x20], #0x85 789CA676 ldrsh x22, [x19], #-0x36 7894F421 ldrsh x1, [x1], #-0xb1 788E1750 ldrsh x16, [x26], #0xe1 7899D6AD ldrsh x13, [x21], #-0x63 7892F7D3 ldrsh x19, [x30], #-0xd1 789404AA ldrsh x10, [x5], #-0xc0 78879419 ldrsh x25, [x0], #0x79 7895A786 ldrsh x6, [x28], #-0xa6 // LDRSH_64_ldst_immpre 01111000100xxxxxxxxx11xxxxxxxxxx 7894DD55 ldrsh x21, [x10, #-0xb3]! 78953D31 ldrsh x17, [x9, #-0xad]! 788F8E60 ldrsh x0, [x19, #0xf8]! 7896CC65 ldrsh x5, [x3, #-0x94]! 78833EC2 ldrsh x2, [x22, #0x33]! 78923D9E ldrsh x30, [x12, #-0xdd]! 78919FE5 ldrsh x5, [sp, #-0xe7]! 789B5FB1 ldrsh x17, [x29, #-0x4b]! 7881BF0B ldrsh x11, [x24, #0x1b]! 789B7D8E ldrsh x14, [x12, #-0x49]! 789D7E89 ldrsh x9, [x20, #-0x29]! 78896E88 ldrsh x8, [x20, #0x96]! 788EACAF ldrsh x15, [x5, #0xea]! 78817C3C ldrsh x28, [x1, #0x17]! 789F4E8D ldrsh x13, [x20, #-0xc]! 789C5C89 ldrsh x9, [x4, #-0x3b]! // LDRSH_64_ldst_pos 0111100110xxxxxxxxxxxxxxxxxxxxxx 7983E17B ldrsh x27, [x11, #0x1f0] 79A06CB3 ldrsh x19, [x5, #0x1036] 7998DD01 ldrsh x1, [x8, #0xc6e] 79B5FCAF ldrsh x15, [x5, #0x1afe] 79940EC7 ldrsh x7, [x22, #0xa06] 79A68DF2 ldrsh x18, [x15, #0x1346] 79927992 ldrsh x18, [x12, #0x93c] 79952A7C ldrsh x28, [x19, #0xa94] 798C18C4 ldrsh x4, [x6, #0x60c] 79AE3368 ldrsh x8, [x27, #0x1718] 798B8036 ldrsh x22, [x1, #0x5c0] 7999D73F ldrsh xzr, [x25, #0xcea] 7985FC1F ldrsh xzr, [x0, #0x2fe] 79AF6666 ldrsh x6, [x19, #0x17b2] 79A9C69B ldrsh x27, [x20, #0x14e2] 79B7D643 ldrsh x3, [x18, #0x1bea] // LDRSH_64_ldst_regoff 01111000101xxxxxx1xx10xxxxxxxxxx 78A77969 ldrsh x9, [x11, x7, lsl #0x1] 78BCCA40 ldrsh x0, [x18, w28, sxtw] 78B46BA8 ldrsh x8, [x29, x20] 78A25B6C ldrsh x12, [x27, w2, uxtw #0x1] 78A14BDC ldrsh x28, [x30, w1, uxtw] 78A66837 ldrsh x23, [x1, x6] 78B55BC9 ldrsh x9, [x30, w21, uxtw #0x1] 78B5D81F ldrsh xzr, [x0, w21, sxtw #0x1] 78B67AB5 ldrsh x21, [x21, x22, lsl #0x1] 78AF5A79 ldrsh x25, [x19, w15, uxtw #0x1] 78A1DA16 ldrsh x22, [x16, w1, sxtw #0x1] 78BF4977 ldrsh x23, [x11, wzr, uxtw] 78AA586B ldrsh x11, [x3, w10, uxtw #0x1] 78AD6A46 ldrsh x6, [x18, x13] 78B6DB40 ldrsh x0, [x26, w22, sxtw #0x1] 78A87824 ldrsh x4, [x1, x8, lsl #0x1] // LDRSW_64_ldst_immpost 10111000100xxxxxxxxx01xxxxxxxxxx B88FA7A8 ldrsw x8, [x29], #0xfa B88D17DF ldrsw xzr, [x30], #0xd1 B8891798 ldrsw x24, [x28], #0x91 B89A4684 ldrsw x4, [x20], #-0x5c B88F26E3 ldrsw x3, [x23], #0xf2 B89B9693 ldrsw x19, [x20], #-0x47 B8890518 ldrsw x24, [x8], #0x90 B89105B0 ldrsw x16, [x13], #-0xf0 B88D8573 ldrsw x19, [x11], #0xd8 B88D951F ldrsw xzr, [x8], #0xd9 B88C07AC ldrsw x12, [x29], #0xc0 B899E57A ldrsw x26, [x11], #-0x62 B89EE549 ldrsw x9, [x10], #-0x12 B883C446 ldrsw x6, [x2], #0x3c B89A059D ldrsw x29, [x12], #-0x60 B89B67AC ldrsw x12, [x29], #-0x4a // LDRSW_64_ldst_immpre 10111000100xxxxxxxxx11xxxxxxxxxx B8984D99 ldrsw x25, [x12, #-0x7c]! B898EE7E ldrsw x30, [x19, #-0x72]! B8809F4B ldrsw x11, [x26, #0x9]! B8819FC5 ldrsw x5, [x30, #0x19]! B89A8D8B ldrsw x11, [x12, #-0x58]! B89B9C51 ldrsw x17, [x2, #-0x47]! B8865FD1 ldrsw x17, [x30, #0x65]! B89D1E6B ldrsw x11, [x19, #-0x2f]! B8837CCA ldrsw x10, [x6, #0x37]! B892EF2E ldrsw x14, [x25, #-0xd2]! B893DEA3 ldrsw x3, [x21, #-0xc3]! B8859DB2 ldrsw x18, [x13, #0x59]! B8931DC9 ldrsw x9, [x14, #-0xcf]! B8874D64 ldrsw x4, [x11, #0x74]! B89F6DD5 ldrsw x21, [x14, #-0xa]! B8906C85 ldrsw x5, [x4, #-0xfa]! // LDRSW_64_ldst_pos 1011100110xxxxxxxxxxxxxxxxxxxxxx B9934DB2 ldrsw x18, [x13, #0x134c] B9883CE2 ldrsw x2, [x7, #0x83c] B9AC18BC ldrsw x28, [x5, #0x2c18] B9BC42D7 ldrsw x23, [x22, #0x3c40] B9AF7A1D ldrsw x29, [x16, #0x2f78] B983B846 ldrsw x6, [x2, #0x3b8] B9B1317F ldrsw xzr, [x11, #0x3130] B9A37A87 ldrsw x7, [x20, #0x2378] B9A79CA2 ldrsw x2, [x5, #0x279c] B981F50A ldrsw x10, [x8, #0x1f4] B9BC53DD ldrsw x29, [x30, #0x3c50] B9A04395 ldrsw x21, [x28, #0x2040] B980BFB0 ldrsw x16, [x29, #0xbc] B9B2EDA9 ldrsw x9, [x13, #0x32ec] B9B6F2C7 ldrsw x7, [x22, #0x36f0] B9A20F80 ldrsw x0, [x28, #0x220c] // LDRSW_64_ldst_regoff 10111000101xxxxxx1xx10xxxxxxxxxx B8B9792F ldrsw x15, [x9, x25, lsl #0x2] B8A64B20 ldrsw x0, [x25, w6, uxtw] B8B9DA3E ldrsw x30, [x17, w25, sxtw #0x2] B8B84840 ldrsw x0, [x2, w24, uxtw] B8B5483D ldrsw x29, [x1, w21, uxtw] B8A8498E ldrsw x14, [x12, w8, uxtw] B8A85986 ldrsw x6, [x12, w8, uxtw #0x2] B8B3581A ldrsw x26, [x0, w19, uxtw #0x2] B8A6486D ldrsw x13, [x3, w6, uxtw] B8B85888 ldrsw x8, [x4, w24, uxtw #0x2] B8BEEB59 ldrsw x25, [x26, x30, sxtx] B8A1EB67 ldrsw x7, [x27, x1, sxtx] B8BC4B2F ldrsw x15, [x25, w28, uxtw] B8AFE906 ldrsw x6, [x8, x15, sxtx] B8B8E9E1 ldrsw x1, [x15, x24, sxtx] B8B0480F ldrsw x15, [x0, w16, uxtw] // LDRSW_64_loadlit 10011000xxxxxxxxxxxxxxxxxxxxxxxx 98993236 ldrsw x22, 0x7ffffffffff32648 9843674A ldrsw x10, 0x8000000000086cec 98F059EA ldrsw x10, 0x7ffffffffffe0b40 98E06ADD ldrsw x29, 0x7ffffffffffc0d5c 98CD4436 ldrsw x22, 0x7ffffffffff9a888 98A63EC3 ldrsw x3, 0x7ffffffffff4c7dc 98AC4682 ldrsw x2, 0x7ffffffffff588d4 9828DE4A ldrsw x10, 0x8000000000051bcc 982A35EE ldrsw x14, 0x80000000000546c0 985EE7DB ldrsw x27, 0x80000000000bdcfc 98053A5A ldrsw x26, 0x800000000000a74c 98E0CD6A ldrsw x10, 0x7ffffffffffc19b0 98D49562 ldrsw x2, 0x7ffffffffffa92b0 98C55C94 ldrsw x20, 0x7ffffffffff8ab94 988B9DE0 ldrsw x0, 0x7ffffffffff173c0 983F37BF ldrsw xzr, 0x800000000007e6f8 // LDR_32_ldst_immpost 10111000010xxxxxxxxx01xxxxxxxxxx B843F650 ldr w16, [x18], #0x3f B858B6C8 ldr w8, [x22], #-0x75 B85237E3 ldr w3, [sp], #-0xdd B843F7CC ldr w12, [x30], #0x3f B8547626 ldr w6, [x17], #-0xb9 B84355D9 ldr w25, [x14], #0x35 B84895FE ldr w30, [x15], #0x89 B85C35AC ldr w12, [x13], #-0x3d B84F248B ldr w11, [x4], #0xf2 B840D724 ldr w4, [x25], #0xd B85CD6C9 ldr w9, [x22], #-0x33 B84957F4 ldr w20, [sp], #0x95 B8494597 ldr w23, [x12], #0x94 B85F5757 ldr w23, [x26], #-0xb B846A78A ldr w10, [x28], #0x6a B85954A4 ldr w4, [x5], #-0x6b // LDR_32_ldst_immpre 10111000010xxxxxxxxx11xxxxxxxxxx B84ABD0F ldr w15, [x8, #0xab]! B841CDC6 ldr w6, [x14, #0x1c]! B85D5D94 ldr w20, [x12, #-0x2b]! B846EED7 ldr w23, [x22, #0x6e]! B8497D0B ldr w11, [x8, #0x97]! B84C5DB6 ldr w22, [x13, #0xc5]! B8430CC7 ldr w7, [x6, #0x30]! B85CCD89 ldr w9, [x12, #-0x34]! B8416C0B ldr w11, [x0, #0x16]! B84C0D4E ldr w14, [x10, #0xc0]! B8519FCF ldr w15, [x30, #-0xe7]! B859FD61 ldr w1, [x11, #-0x61]! B85E1F15 ldr w21, [x24, #-0x1f]! B844FE15 ldr w21, [x16, #0x4f]! B851EDF4 ldr w20, [x15, #-0xe2]! B8562EF8 ldr w24, [x23, #-0x9e]! // LDR_32_ldst_pos 1011100101xxxxxxxxxxxxxxxxxxxxxx B965FB5F ldr wzr, [x26, #0x25f8] B9619889 ldr w9, [x4, #0x2198] B9425735 ldr w21, [x25, #0x254] B960144E ldr w14, [x2, #0x2014] B9628E57 ldr w23, [x18, #0x228c] B97E2A54 ldr w20, [x18, #0x3e28] B97406DB ldr w27, [x22, #0x3404] B96E5078 ldr w24, [x3, #0x2e50] B959A457 ldr w23, [x2, #0x19a4] B94F191B ldr w27, [x8, #0xf18] B962286C ldr w12, [x3, #0x2228] B94B7E65 ldr w5, [x19, #0xb7c] B977978A ldr w10, [x28, #0x3794] B967E2C8 ldr w8, [x22, #0x27e0] B946AD57 ldr w23, [x10, #0x6ac] B94CE30E ldr w14, [x24, #0xce0] // LDR_32_ldst_regoff 10111000011xxxxxx1xx10xxxxxxxxxx B86DDACA ldr w10, [x22, w13, sxtw #0x2] B874EB85 ldr w5, [x28, x20, sxtx] B8756A9D ldr w29, [x20, x21] B861F95A ldr w26, [x10, x1, sxtx #0x2] B8785975 ldr w21, [x11, w24, uxtw #0x2] B8686A18 ldr w24, [x16, x8] B8647950 ldr w16, [x10, x4, lsl #0x2] B8724B76 ldr w22, [x27, w18, uxtw] B86379F8 ldr w24, [x15, x3, lsl #0x2] B86AC994 ldr w20, [x12, w10, sxtw] B87EFAF6 ldr w22, [x23, x30, sxtx #0x2] B8727B00 ldr w0, [x24, x18, lsl #0x2] B8615BF9 ldr w25, [sp, w1, uxtw #0x2] B87E5A50 ldr w16, [x18, w30, uxtw #0x2] B8695818 ldr w24, [x0, w9, uxtw #0x2] B867EB3E ldr w30, [x25, x7, sxtx] // LDR_32_loadlit 00011000xxxxxxxxxxxxxxxxxxxxxxxx 189885FF ldr wzr, 0x7ffffffffff310c0 18DB824C ldr w12, 0x7ffffffffffb704c 188AC6B5 ldr w21, 0x7ffffffffff158d8 18689E2C ldr w12, 0x80000000000d13c8 183CDE3A ldr w26, 0x8000000000079bc8 18FC30E8 ldr w8, 0x7fffffffffff8620 180A4D5F ldr wzr, 0x80000000000149ac 180EF131 ldr w17, 0x800000000001de28 18F45301 ldr w1, 0x7ffffffffffe8a64 186C806E ldr w14, 0x80000000000d9010 1833EEF1 ldr w17, 0x8000000000067de0 187E887C ldr w28, 0x80000000000fd110 18DF1EEB ldr w11, 0x7ffffffffffbe3e0 186AB883 ldr w3, 0x80000000000d5714 184E1C0F ldr w15, 0x800000000009c384 18B3D78F ldr w15, 0x7ffffffffff67af4 // LDR_64_ldst_immpost 11111000010xxxxxxxxx01xxxxxxxxxx F85FA74E ldr x14, [x26], #-0x6 F8548578 ldr x24, [x11], #-0xb8 F8402473 ldr x19, [x3], #0x2 F846854E ldr x14, [x10], #0x68 F855473F ldr xzr, [x25], #-0xac F85B8717 ldr x23, [x24], #-0x48 F84816AB ldr x11, [x21], #0x81 F85BD720 ldr x0, [x25], #-0x43 F84AA765 ldr x5, [x27], #0xaa F856852D ldr x13, [x9], #-0x98 F85C550A ldr x10, [x8], #-0x3b F85DA798 ldr x24, [x28], #-0x26 F84C24F5 ldr x21, [x7], #0xc2 F85546BD ldr x29, [x21], #-0xac F84F953F ldr xzr, [x9], #0xf9 F85CA4BB ldr x27, [x5], #-0x36 // LDR_64_ldst_immpre 11111000010xxxxxxxxx11xxxxxxxxxx F845EC10 ldr x16, [x0, #0x5e]! F84F9C49 ldr x9, [x2, #0xf9]! F8551DE4 ldr x4, [x15, #-0xaf]! F85BDFC3 ldr x3, [x30, #-0x43]! F84B2DF0 ldr x16, [x15, #0xb2]! F8417E91 ldr x17, [x20, #0x17]! F8501ECB ldr x11, [x22, #-0xff]! F84D5E44 ldr x4, [x18, #0xd5]! F8430C75 ldr x21, [x3, #0x30]! F859DF11 ldr x17, [x24, #-0x63]! F8511F72 ldr x18, [x27, #-0xef]! F843ACB6 ldr x22, [x5, #0x3a]! F84B7EBA ldr x26, [x21, #0xb7]! F8542D5A ldr x26, [x10, #-0xbe]! F85D1FFF ldr xzr, [sp, #-0x2f]! F85C6FA6 ldr x6, [x29, #-0x3a]! // LDR_64_ldst_pos 1111100101xxxxxxxxxxxxxxxxxxxxxx F96ECD59 ldr x25, [x10, #0x5d98] F96D251A ldr x26, [x8, #0x5a48] F955A51E ldr x30, [x8, #0x2b48] F9741414 ldr x20, [x0, #0x6828] F97CC9B3 ldr x19, [x13, #0x7990] F961FBEC ldr x12, [sp, #0x43f0] F94DA675 ldr x21, [x19, #0x1b48] F943445D ldr x29, [x2, #0x688] F94DBA54 ldr x20, [x18, #0x1b70] F978908F ldr x15, [x4, #0x7120] F97EDDE5 ldr x5, [x15, #0x7db8] F9469399 ldr x25, [x28, #0xd20] F94B4A43 ldr x3, [x18, #0x1690] F96179D8 ldr x24, [x14, #0x42f0] F95F7C68 ldr x8, [x3, #0x3ef8] F9573869 ldr x9, [x3, #0x2e70] // LDR_64_ldst_regoff 11111000011xxxxxx1xx10xxxxxxxxxx F87B5ADC ldr x28, [x22, w27, uxtw #0x3] F86D7B64 ldr x4, [x27, x13, lsl #0x3] F871D9F0 ldr x16, [x15, w17, sxtw #0x3] F8716B46 ldr x6, [x26, x17] F86F5B1F ldr xzr, [x24, w15, uxtw #0x3] F8756B94 ldr x20, [x28, x21] F872F9AE ldr x14, [x13, x18, sxtx #0x3] F86E5AD5 ldr x21, [x22, w14, uxtw #0x3] F8767998 ldr x24, [x12, x22, lsl #0x3] F86169AF ldr x15, [x13, x1] F8737894 ldr x20, [x4, x19, lsl #0x3] F86EFAB4 ldr x20, [x21, x14, sxtx #0x3] F865D843 ldr x3, [x2, w5, sxtw #0x3] F867FB9D ldr x29, [x28, x7, sxtx #0x3] F87CD8FF ldr xzr, [x7, w28, sxtw #0x3] F87B696C ldr x12, [x11, x27] // LDR_64_loadlit 01011000xxxxxxxxxxxxxxxxxxxxxxxx 58564E32 ldr x18, 0x80000000000ac9c8 581C6185 ldr x5, 0x8000000000038c34 58BBF93C ldr x28, 0x7ffffffffff77f28 58B220E2 ldr x2, 0x7ffffffffff64420 58900900 ldr x0, 0x7ffffffffff20124 583923CB ldr x11, 0x800000000007247c 58C34B44 ldr x4, 0x7ffffffffff8696c 58077A5E ldr x30, 0x800000000000ef4c 58CEA419 ldr x25, 0x7ffffffffff9d484 58B80CF3 ldr x19, 0x7ffffffffff701a0 5864B3F5 ldr x21, 0x80000000000c9680 58F53CEB ldr x11, 0x7ffffffffffea7a0 58AA3CAD ldr x13, 0x7ffffffffff54798 58A5ABAC ldr x12, 0x7ffffffffff4b578 583FD920 ldr x0, 0x800000000007fb28 5894E479 ldr x25, 0x7ffffffffff29c90 // LDR_BL_ldst_regoff 00111100011xxxxx011x10xxxxxxxxxx 3C626983 ldr b3, [x12, x2] 3C6E78A7 ldr b7, [x5, x14, lsl #0x0] 3C616AD0 ldr b16, [x22, x1] 3C65788C ldr b12, [x4, x5, lsl #0x0] 3C647960 ldr b0, [x11, x4, lsl #0x0] 3C7D7956 ldr b22, [x10, x29, lsl #0x0] 3C667837 ldr b23, [x1, x6, lsl #0x0] 3C646995 ldr b21, [x12, x4] 3C606B9E ldr b30, [x28, x0] 3C687B83 ldr b3, [x28, x8, lsl #0x0] 3C7768D3 ldr b19, [x6, x23] 3C696ACD ldr b13, [x22, x9] 3C7D69D3 ldr b19, [x14, x29] 3C6468C5 ldr b5, [x6, x4] 3C6A6969 ldr b9, [x11, x10] 3C6F6AAD ldr b13, [x21, x15] // LDR_B_ldst_immpost 00111100010xxxxxxxxx01xxxxxxxxxx 3C587536 ldr b22, [x9], #-0x79 3C5FF566 ldr b6, [x11], #-0x1 3C5E9517 ldr b23, [x8], #-0x17 3C5FA77B ldr b27, [x27], #-0x6 3C5DC761 ldr b1, [x27], #-0x24 3C4E067A ldr b26, [x19], #0xe0 3C4BC458 ldr b24, [x2], #0xbc 3C54272C ldr b12, [x25], #-0xbe 3C578794 ldr b20, [x28], #-0x88 3C55F589 ldr b9, [x12], #-0xa1 3C4017FE ldr b30, [sp], #0x1 3C5F160A ldr b10, [x16], #-0xf 3C527595 ldr b21, [x12], #-0xd9 3C4407A4 ldr b4, [x29], #0x40 3C55B54D ldr b13, [x10], #-0xa5 3C4CC610 ldr b16, [x16], #0xcc // LDR_B_ldst_immpre 00111100010xxxxxxxxx11xxxxxxxxxx 3C574EE5 ldr b5, [x23, #-0x8c]! 3C558C8A ldr b10, [x4, #-0xa8]! 3C43AC18 ldr b24, [x0, #0x3a]! 3C54EC6E ldr b14, [x3, #-0xb2]! 3C521D2F ldr b15, [x9, #-0xdf]! 3C54DE7B ldr b27, [x19, #-0xb3]! 3C454F8B ldr b11, [x28, #0x54]! 3C572FCB ldr b11, [x30, #-0x8e]! 3C5CBDED ldr b13, [x15, #-0x35]! 3C4BFD39 ldr b25, [x9, #0xbf]! 3C5EAD06 ldr b6, [x8, #-0x16]! 3C544ECD ldr b13, [x22, #-0xbc]! 3C412D00 ldr b0, [x8, #0x12]! 3C4FBDE2 ldr b2, [x15, #0xfb]! 3C444F28 ldr b8, [x25, #0x44]! 3C52FEE2 ldr b2, [x23, #-0xd1]! // LDR_B_ldst_pos 0011110101xxxxxxxxxxxxxxxxxxxxxx 3D4666EE ldr b14, [x23, #0x199] 3D7BA833 ldr b19, [x1, #0xeea] 3D6ECCFA ldr b26, [x7, #0xbb3] 3D7C4C20 ldr b0, [x1, #0xf13] 3D466E95 ldr b21, [x20, #0x19b] 3D4DBE44 ldr b4, [x18, #0x36f] 3D7557AF ldr b15, [x29, #0xd55] 3D40FAE3 ldr b3, [x23, #0x3e] 3D7D174E ldr b14, [x26, #0xf45] 3D7967AF ldr b15, [x29, #0xe59] 3D56E625 ldr b5, [x17, #0x5b9] 3D7BE4B3 ldr b19, [x5, #0xef9] 3D70167C ldr b28, [x19, #0xc05] 3D4F9F9F ldr b31, [x28, #0x3e7] 3D67A554 ldr b20, [x10, #0x9e9] 3D59AFE0 ldr b0, [sp, #0x66b] // LDR_B_ldst_regoff 00111100011xxxxxx1xx10xxxxxxxxxx 3C60E9F4 ldr b20, [x15, x0, sxtx] 3C60F870 ldr b16, [x3, x0, sxtx #0x0] 3C6CF89C ldr b28, [x4, x12, sxtx #0x0] 3C6CCA84 ldr b4, [x20, w12, sxtw] 3C6C5BBA ldr b26, [x29, w12, uxtw #0x0] 3C6CEB76 ldr b22, [x27, x12, sxtx] 3C72FB39 ldr b25, [x25, x18, sxtx #0x0] 3C78D861 ldr b1, [x3, w24, sxtw #0x0] 3C67F974 ldr b20, [x11, x7, sxtx #0x0] 3C66E853 ldr b19, [x2, x6, sxtx] 3C78FABA ldr b26, [x21, x24, sxtx #0x0] 3C76493A ldr b26, [x9, w22, uxtw] 3C75D89F ldr b31, [x4, w21, sxtw #0x0] 3C77C86C ldr b12, [x3, w23, sxtw] 3C6FDBD6 ldr b22, [x30, w15, sxtw #0x0] 3C7D59B4 ldr b20, [x13, w29, uxtw #0x0] // LDR_D_ldst_immpost 11111100010xxxxxxxxx01xxxxxxxxxx FC556733 ldr d19, [x25], #-0xaa FC54B522 ldr d2, [x9], #-0xb5 FC41B625 ldr d5, [x17], #0x1b FC55B72D ldr d13, [x25], #-0xa5 FC40354C ldr d12, [x10], #0x3 FC43750D ldr d13, [x8], #0x37 FC4A6669 ldr d9, [x19], #0xa6 FC55D4B1 ldr d17, [x5], #-0xa3 FC4C369E ldr d30, [x20], #0xc3 FC4CB6D2 ldr d18, [x22], #0xcb FC5D0475 ldr d21, [x3], #-0x30 FC40174E ldr d14, [x26], #0x1 FC4B6504 ldr d4, [x8], #0xb6 FC51350F ldr d15, [x8], #-0xed FC4CE63E ldr d30, [x17], #0xce FC426447 ldr d7, [x2], #0x26 // LDR_D_ldst_immpre 11111100010xxxxxxxxx11xxxxxxxxxx FC576D13 ldr d19, [x8, #-0x8a]! FC41BDB5 ldr d21, [x13, #0x1b]! FC517C15 ldr d21, [x0, #-0xe9]! FC486EAB ldr d11, [x21, #0x86]! FC4E5D1D ldr d29, [x8, #0xe5]! FC5B0D54 ldr d20, [x10, #-0x50]! FC42AED2 ldr d18, [x22, #0x2a]! FC55BF9A ldr d26, [x28, #-0xa5]! FC407D61 ldr d1, [x11, #0x7]! FC4D2CCF ldr d15, [x6, #0xd2]! FC408D5E ldr d30, [x10, #0x8]! FC5F0E67 ldr d7, [x19, #-0x10]! FC4FFE1E ldr d30, [x16, #0xff]! FC5EBE9E ldr d30, [x20, #-0x15]! FC40FC66 ldr d6, [x3, #0xf]! FC53AF24 ldr d4, [x25, #-0xc6]! // LDR_D_ldst_pos 1111110101xxxxxxxxxxxxxxxxxxxxxx FD4989DE ldr d30, [x14, #0x1310] FD7430E2 ldr d2, [x7, #0x6860] FD6E6C11 ldr d17, [x0, #0x5cd8] FD7F44AC ldr d12, [x5, #0x7e88] FD6859AD ldr d13, [x13, #0x50b0] FD659F16 ldr d22, [x24, #0x4b38] FD76DD31 ldr d17, [x9, #0x6db8] FD4A3E76 ldr d22, [x19, #0x1478] FD6CDA98 ldr d24, [x20, #0x59b0] FD78A28D ldr d13, [x20, #0x7140] FD5621C9 ldr d9, [x14, #0x2c40] FD65765A ldr d26, [x18, #0x4ae8] FD6810EF ldr d15, [x7, #0x5020] FD4B3F94 ldr d20, [x28, #0x1678] FD73D487 ldr d7, [x4, #0x67a8] FD5820FA ldr d26, [x7, #0x3040] // LDR_D_ldst_regoff 11111100011xxxxxx1xx10xxxxxxxxxx FC69CA7A ldr d26, [x19, w9, sxtw] FC6AFA27 ldr d7, [x17, x10, sxtx #0x3] FC77FBD7 ldr d23, [x30, x23, sxtx #0x3] FC7979EA ldr d10, [x15, x25, lsl #0x3] FC6C4A0C ldr d12, [x16, w12, uxtw] FC786941 ldr d1, [x10, x24] FC756A58 ldr d24, [x18, x21] FC6A59F0 ldr d16, [x15, w10, uxtw #0x3] FC747A4A ldr d10, [x18, x20, lsl #0x3] FC694B6D ldr d13, [x27, w9, uxtw] FC777BD1 ldr d17, [x30, x23, lsl #0x3] FC73FA77 ldr d23, [x19, x19, sxtx #0x3] FC78DAE5 ldr d5, [x23, w24, sxtw #0x3] FC6AD870 ldr d16, [x3, w10, sxtw #0x3] FC6B5810 ldr d16, [x0, w11, uxtw #0x3] FC63C864 ldr d4, [x3, w3, sxtw] // LDR_D_loadlit 0101110xxxxxxxxxxxxxxxxxxxxxxxxx 5C83859D ldr d29, 0x7ffffffffff070b4 5C0A02C6 ldr d6, 0x800000000001405c 5C55B442 ldr d2, 0x80000000000ab68c 5C42800F ldr d15, 0x8000000000085004 5CD9A873 ldr d19, 0x7ffffffffffb3510 5CABA768 ldr d8, 0x7ffffffffff574f0 5C26CC28 ldr d8, 0x800000000004d988 5C0C0B0E ldr d14, 0x8000000000018164 5CD8FCB4 ldr d20, 0x7ffffffffffb1f98 5C2F4002 ldr d2, 0x800000000005e804 5C040CB4 ldr d20, 0x8000000000008198 5CB326DB ldr d27, 0x7ffffffffff664dc 5CFC0720 ldr d0, 0x7fffffffffff80e8 5C2F535C ldr d28, 0x800000000005ea6c 5CF8554F ldr d15, 0x7fffffffffff0aac 5C962C0C ldr d12, 0x7ffffffffff2c584 // LDR_H_ldst_immpost 01111100010xxxxxxxxx01xxxxxxxxxx 7C59B53B ldr h27, [x9], #-0x65 7C4E05D8 ldr h24, [x14], #0xe0 7C41C7F6 ldr h22, [sp], #0x1c 7C4E9713 ldr h19, [x24], #0xe9 7C4325CD ldr h13, [x14], #0x32 7C495624 ldr h4, [x17], #0x95 7C4CA5AD ldr h13, [x13], #0xca 7C4EB469 ldr h9, [x3], #0xeb 7C51C6A9 ldr h9, [x21], #-0xe4 7C5EA435 ldr h21, [x1], #-0x16 7C532763 ldr h3, [x27], #-0xce 7C46E7B2 ldr h18, [x29], #0x6e 7C58774E ldr h14, [x26], #-0x79 7C5535E8 ldr h8, [x15], #-0xad 7C5AE649 ldr h9, [x18], #-0x52 7C5704CA ldr h10, [x6], #-0x90 // LDR_H_ldst_immpre 01111100010xxxxxxxxx11xxxxxxxxxx 7C5CCC14 ldr h20, [x0, #-0x34]! 7C46BF31 ldr h17, [x25, #0x6b]! 7C5CDFAB ldr h11, [x29, #-0x33]! 7C40CFF5 ldr h21, [sp, #0xc]! 7C40AE54 ldr h20, [x18, #0xa]! 7C5C5C8C ldr h12, [x4, #-0x3b]! 7C54FDC5 ldr h5, [x14, #-0xb1]! 7C5BEC72 ldr h18, [x3, #-0x42]! 7C532D29 ldr h9, [x9, #-0xce]! 7C43DC2F ldr h15, [x1, #0x3d]! 7C436F52 ldr h18, [x26, #0x36]! 7C461D6D ldr h13, [x11, #0x61]! 7C47BF46 ldr h6, [x26, #0x7b]! 7C407E00 ldr h0, [x16, #0x7]! 7C5B2C0C ldr h12, [x0, #-0x4e]! 7C41DEF3 ldr h19, [x23, #0x1d]! // LDR_H_ldst_pos 0111110101xxxxxxxxxxxxxxxxxxxxxx 7D7933BA ldr h26, [x29, #0x1c98] 7D4551D7 ldr h23, [x14, #0x2a8] 7D4A8410 ldr h16, [x0, #0x542] 7D75314E ldr h14, [x10, #0x1a98] 7D4D589A ldr h26, [x4, #0x6ac] 7D4703CF ldr h15, [x30, #0x380] 7D493FED ldr h13, [sp, #0x49e] 7D5F8B60 ldr h0, [x27, #0xfc4] 7D60CF5E ldr h30, [x26, #0x1066] 7D4A24BD ldr h29, [x5, #0x512] 7D563EE5 ldr h5, [x23, #0xb1e] 7D436402 ldr h2, [x0, #0x1b2] 7D62356E ldr h14, [x11, #0x111a] 7D7DE394 ldr h20, [x28, #0x1ef0] 7D634DD6 ldr h22, [x14, #0x11a6] 7D7C01F0 ldr h16, [x15, #0x1e00] // LDR_H_ldst_regoff 01111100011xxxxxx1xx10xxxxxxxxxx 7C60EA9D ldr h29, [x20, x0, sxtx] 7C74C8CE ldr h14, [x6, w20, sxtw] 7C7F593B ldr h27, [x9, wzr, uxtw #0x1] 7C6579EB ldr h11, [x15, x5, lsl #0x1] 7C75CBA1 ldr h1, [x29, w21, sxtw] 7C637BB0 ldr h16, [x29, x3, lsl #0x1] 7C6ADB4D ldr h13, [x26, w10, sxtw #0x1] 7C7A586F ldr h15, [x3, w26, uxtw #0x1] 7C7CCBC2 ldr h2, [x30, w28, sxtw] 7C78F803 ldr h3, [x0, x24, sxtx #0x1] 7C75DAB7 ldr h23, [x21, w21, sxtw #0x1] 7C657BCD ldr h13, [x30, x5, lsl #0x1] 7C65DB08 ldr h8, [x24, w5, sxtw #0x1] 7C6459B1 ldr h17, [x13, w4, uxtw #0x1] 7C6AE8F8 ldr h24, [x7, x10, sxtx] 7C745851 ldr h17, [x2, w20, uxtw #0x1] // LDR_Q_ldst_immpost 00111100110xxxxxxxxx01xxxxxxxxxx 3CDF2726 ldr q6, [x25], #-0xe 3CDC9412 ldr q18, [x0], #-0x37 3CD0F6D5 ldr q21, [x22], #-0xf1 3CDF7794 ldr q20, [x28], #-0x9 3CC504C6 ldr q6, [x6], #0x50 3CD7B519 ldr q25, [x8], #-0x85 3CCBF7A2 ldr q2, [x29], #0xbf 3CC5F5C9 ldr q9, [x14], #0x5f 3CDD15D7 ldr q23, [x14], #-0x2f 3CCD25B0 ldr q16, [x13], #0xd2 3CCC85D4 ldr q20, [x14], #0xc8 3CDF6564 ldr q4, [x11], #-0xa 3CD35534 ldr q20, [x9], #-0xcb 3CDE05DC ldr q28, [x14], #-0x20 3CC616EA ldr q10, [x23], #0x61 3CD175C5 ldr q5, [x14], #-0xe9 // LDR_Q_ldst_immpre 00111100110xxxxxxxxx11xxxxxxxxxx 3CCDBD63 ldr q3, [x11, #0xdb]! 3CD15C9C ldr q28, [x4, #-0xeb]! 3CD47D6C ldr q12, [x11, #-0xb9]! 3CD9ED6F ldr q15, [x11, #-0x62]! 3CCDCD9F ldr q31, [x12, #0xdc]! 3CC63C93 ldr q19, [x4, #0x63]! 3CD13DC4 ldr q4, [x14, #-0xed]! 3CC7CDF5 ldr q21, [x15, #0x7c]! 3CD66FF4 ldr q20, [sp, #-0x9a]! 3CC69C4A ldr q10, [x2, #0x69]! 3CCCAEA8 ldr q8, [x21, #0xca]! 3CD30EEA ldr q10, [x23, #-0xd0]! 3CC75C1B ldr q27, [x0, #0x75]! 3CCEDFB1 ldr q17, [x29, #0xed]! 3CD03FB5 ldr q21, [x29, #-0xfd]! 3CCF8CD6 ldr q22, [x6, #0xf8]! // LDR_Q_ldst_pos 0011110111xxxxxxxxxxxxxxxxxxxxxx 3DDEABF2 ldr q18, [sp, #0x7aa0] 3DD2E801 ldr q1, [x0, #0x4ba0] 3DFE8D36 ldr q22, [x9, #0xfa30] 3DC93E0A ldr q10, [x16, #0x24f0] 3DF6383E ldr q30, [x1, #0xd8e0] 3DC07B34 ldr q20, [x25, #0x1e0] 3DF38DFB ldr q27, [x15, #0xce30] 3DDE3253 ldr q19, [x18, #0x78c0] 3DCC42AE ldr q14, [x21, #0x3100] 3DF3A169 ldr q9, [x11, #0xce80] 3DC21193 ldr q19, [x12, #0x840] 3DC3B5A1 ldr q1, [x13, #0xed0] 3DD84DF5 ldr q21, [x15, #0x6130] 3DF90DED ldr q13, [x15, #0xe430] 3DC991F7 ldr q23, [x15, #0x2640] 3DF9F0BC ldr q28, [x5, #0xe7c0] // LDR_Q_ldst_regoff 00111100111xxxxxx1xx10xxxxxxxxxx 3CE8C8F2 ldr q18, [x7, w8, sxtw] 3CEA5B3E ldr q30, [x25, w10, uxtw #0x4] 3CE4E898 ldr q24, [x4, x4, sxtx] 3CE16B17 ldr q23, [x24, x1] 3CF3C82A ldr q10, [x1, w19, sxtw] 3CFFCB4D ldr q13, [x26, wzr, sxtw] 3CF1EBFA ldr q26, [sp, x17, sxtx] 3CE95AAB ldr q11, [x21, w9, uxtw #0x4] 3CE0E815 ldr q21, [x0, x0, sxtx] 3CE2C9D3 ldr q19, [x14, w2, sxtw] 3CF05A2A ldr q10, [x17, w16, uxtw #0x4] 3CE5EAF6 ldr q22, [x23, x5, sxtx] 3CF9D82C ldr q12, [x1, w25, sxtw #0x4] 3CF449CD ldr q13, [x14, w20, uxtw] 3CEADA56 ldr q22, [x18, w10, sxtw #0x4] 3CF2CA41 ldr q1, [x18, w18, sxtw] // LDR_Q_loadlit 1001110xxxxxxxxxxxxxxxxxxxxxxxxx 9C09231D ldr q29, 0x8000000000012464 9C83EADB ldr q27, 0x7ffffffffff07d5c 9CF81335 ldr q21, 0x7fffffffffff0268 9C38E181 ldr q1, 0x8000000000071c34 9C3E46E7 ldr q7, 0x800000000007c8e0 9CEF3C33 ldr q19, 0x7ffffffffffde788 9C545615 ldr q21, 0x80000000000a8ac4 9CF85374 ldr q20, 0x7fffffffffff0a70 9C407C4C ldr q12, 0x8000000000080f8c 9CF0944E ldr q14, 0x7ffffffffffe128c 9CFC10EA ldr q10, 0x7fffffffffff8220 9C0662A4 ldr q4, 0x800000000000cc58 9CD20677 ldr q23, 0x7ffffffffffa40d0 9CE2E133 ldr q19, 0x7ffffffffffc5c28 9C926FDF ldr q31, 0x7ffffffffff24dfc 9C2D22D2 ldr q18, 0x800000000005a45c // LDR_S_ldst_immpost 10111100010xxxxxxxxx01xxxxxxxxxx BC4CA47F ldr s31, [x3], #0xca BC4B3617 ldr s23, [x16], #0xb3 BC44E68D ldr s13, [x20], #0x4e BC4D0713 ldr s19, [x24], #0xd0 BC53B6BE ldr s30, [x21], #-0xc5 BC58E5FA ldr s26, [x15], #-0x72 BC45B7AA ldr s10, [x29], #0x5b BC44560B ldr s11, [x16], #0x45 BC47A527 ldr s7, [x9], #0x7a BC44A79E ldr s30, [x28], #0x4a BC4DD4AC ldr s12, [x5], #0xdd BC5E9711 ldr s17, [x24], #-0x17 BC579466 ldr s6, [x3], #-0x87 BC428572 ldr s18, [x11], #0x28 BC558761 ldr s1, [x27], #-0xa8 BC4F567E ldr s30, [x19], #0xf5 // LDR_S_ldst_immpre 10111100010xxxxxxxxx11xxxxxxxxxx BC4DAE89 ldr s9, [x20, #0xda]! BC416E99 ldr s25, [x20, #0x16]! BC40CFD1 ldr s17, [x30, #0xc]! BC411DAE ldr s14, [x13, #0x11]! BC4A1CCF ldr s15, [x6, #0xa1]! BC479E53 ldr s19, [x18, #0x79]! BC5ABF8E ldr s14, [x28, #-0x55]! BC59BE85 ldr s5, [x20, #-0x65]! BC43BD40 ldr s0, [x10, #0x3b]! BC43CE4A ldr s10, [x18, #0x3c]! BC5CBE3C ldr s28, [x17, #-0x35]! BC55BC68 ldr s8, [x3, #-0xa5]! BC4E0FAB ldr s11, [x29, #0xe0]! BC503CDD ldr s29, [x6, #-0xfd]! BC51AF73 ldr s19, [x27, #-0xe6]! BC5E3F6B ldr s11, [x27, #-0x1d]! // LDR_S_ldst_pos 1011110101xxxxxxxxxxxxxxxxxxxxxx BD48A800 ldr s0, [x0, #0x8a8] BD49CF46 ldr s6, [x26, #0x9cc] BD70E38B ldr s11, [x28, #0x30e0] BD4F0265 ldr s5, [x19, #0xf00] BD433131 ldr s17, [x9, #0x330] BD5D6380 ldr s0, [x28, #0x1d60] BD52EC50 ldr s16, [x2, #0x12ec] BD405F6E ldr s14, [x27, #0x5c] BD759159 ldr s25, [x10, #0x3590] BD6A6190 ldr s16, [x12, #0x2a60] BD77DFA3 ldr s3, [x29, #0x37dc] BD51C642 ldr s2, [x18, #0x11c4] BD58768B ldr s11, [x20, #0x1874] BD76974B ldr s11, [x26, #0x3694] BD45A88A ldr s10, [x4, #0x5a8] BD7B852D ldr s13, [x9, #0x3b84] // LDR_S_ldst_regoff 10111100011xxxxxx1xx10xxxxxxxxxx BC787857 ldr s23, [x2, x24, lsl #0x2] BC7F6A15 ldr s21, [x16, xzr] BC7D494B ldr s11, [x10, w29, uxtw] BC635AA1 ldr s1, [x21, w3, uxtw #0x2] BC72D8B4 ldr s20, [x5, w18, sxtw #0x2] BC7C7811 ldr s17, [x0, x28, lsl #0x2] BC6CCA75 ldr s21, [x19, w12, sxtw] BC7AD8A2 ldr s2, [x5, w26, sxtw #0x2] BC71C931 ldr s17, [x9, w17, sxtw] BC7CD818 ldr s24, [x0, w28, sxtw #0x2] BC617ACF ldr s15, [x22, x1, lsl #0x2] BC6B7AEC ldr s12, [x23, x11, lsl #0x2] BC79486D ldr s13, [x3, w25, uxtw] BC6F7861 ldr s1, [x3, x15, lsl #0x2] BC74DB68 ldr s8, [x27, w20, sxtw #0x2] BC7059BE ldr s30, [x13, w16, uxtw #0x2] // LDR_S_loadlit 0001110xxxxxxxxxxxxxxxxxxxxxxxxx 1C5C5D3D ldr s29, 0x80000000000b8ba8 1CB0008A ldr s10, 0x7ffffffffff60014 1C81AB41 ldr s1, 0x7ffffffffff0356c 1C432DE9 ldr s9, 0x80000000000865c0 1C4031F2 ldr s18, 0x8000000000080640 1CDF2DA3 ldr s3, 0x7ffffffffffbe5b8 1C1C19DB ldr s27, 0x800000000003833c 1CECEE01 ldr s1, 0x7ffffffffffd9dc4 1C526B49 ldr s9, 0x80000000000a4d6c 1CC108DB ldr s27, 0x7ffffffffff8211c 1CFA929F ldr s31, 0x7fffffffffff5254 1C76608E ldr s14, 0x80000000000ecc14 1C141739 ldr s25, 0x80000000000282e8 1C59F590 ldr s16, 0x80000000000b3eb4 1C0F6A1A ldr s26, 0x800000000001ed44 1C05D295 ldr s21, 0x800000000000ba54 // LDSETAB_32_memop 00111000101xxxxx001100xxxxxxxxxx 38A3338D ldsetab w3, w13, [x28] 38B5328E ldsetab w21, w14, [x20] 38B5332C ldsetab w21, w12, [x25] 38B732EC ldsetab w23, w12, [x23] 38AF3246 ldsetab w15, w6, [x18] 38B331C5 ldsetab w19, w5, [x14] 38B031C6 ldsetab w16, w6, [x14] 38B53020 ldsetab w21, w0, [x1] 38A03118 ldsetab w0, w24, [x8] 38AC329E ldsetab w12, w30, [x20] 38AE325C ldsetab w14, w28, [x18] 38B430E0 ldsetab w20, w0, [x7] 38A53186 ldsetab w5, w6, [x12] 38AA3383 ldsetab w10, w3, [x28] 38AD30F4 ldsetab w13, w20, [x7] 38AB3388 ldsetab w11, w8, [x28] // LDSETAH_32_memop 01111000101xxxxx001100xxxxxxxxxx 78B93199 ldsetah w25, w25, [x12] 78A231AA ldsetah w2, w10, [x13] 78A332FA ldsetah w3, w26, [x23] 78BD3339 ldsetah w29, w25, [x25] 78B731CB ldsetah w23, w11, [x14] 78AC30ED ldsetah w12, w13, [x7] 78AE33F6 ldsetah w14, w22, [sp] 78AD33E8 ldsetah w13, w8, [sp] 78B93281 ldsetah w25, w1, [x20] 78B932C0 ldsetah w25, w0, [x22] 78AE31BC ldsetah w14, w28, [x13] 78BE30E9 ldsetah w30, w9, [x7] 78BC31BE ldsetah w28, w30, [x13] 78BF3263 ldsetah wzr, w3, [x19] 78BE30D4 ldsetah w30, w20, [x6] 78B130C2 ldsetah w17, w2, [x6] // LDSETALB_32_memop 00111000111xxxxx001100xxxxxxxxxx 38E133A6 ldsetalb w1, w6, [x29] 38E03375 ldsetalb w0, w21, [x27] 38E2308D ldsetalb w2, w13, [x4] 38F63111 ldsetalb w22, w17, [x8] 38F333A4 ldsetalb w19, w4, [x29] 38EA33E7 ldsetalb w10, w7, [sp] 38F930E0 ldsetalb w25, w0, [x7] 38F331FA ldsetalb w19, w26, [x15] 38EE31CD ldsetalb w14, w13, [x14] 38EF32C7 ldsetalb w15, w7, [x22] 38F13210 ldsetalb w17, w16, [x16] 38E73349 ldsetalb w7, w9, [x26] 38E93263 ldsetalb w9, w3, [x19] 38FB32B4 ldsetalb w27, w20, [x21] 38E133C9 ldsetalb w1, w9, [x30] 38E531D0 ldsetalb w5, w16, [x14] // LDSETALH_32_memop 01111000111xxxxx001100xxxxxxxxxx 78E33071 ldsetalh w3, w17, [x3] 78F630FC ldsetalh w22, w28, [x7] 78E13078 ldsetalh w1, w24, [x3] 78EB31D6 ldsetalh w11, w22, [x14] 78E631DD ldsetalh w6, w29, [x14] 78E033E4 ldsetalh w0, w4, [sp] 78EC325E ldsetalh w12, w30, [x18] 78FE3389 ldsetalh w30, w9, [x28] 78E93131 ldsetalh w9, w17, [x9] 78EA3259 ldsetalh w10, w25, [x18] 78EB332A ldsetalh w11, w10, [x25] 78F9338E ldsetalh w25, w14, [x28] 78FD3218 ldsetalh w29, w24, [x16] 78E6309E ldsetalh w6, w30, [x4] 78ED3151 ldsetalh w13, w17, [x10] 78E23173 ldsetalh w2, w19, [x11] // LDSETAL_32_memop 10111000111xxxxx001100xxxxxxxxxx B8ED305C ldsetal w13, w28, [x2] B8E031F1 ldsetal w0, w17, [x15] B8ED315F ldsetal w13, wzr, [x10] B8F03228 ldsetal w16, w8, [x17] B8E632DF ldsetal w6, wzr, [x22] B8F9306F ldsetal w25, w15, [x3] B8E23000 ldsetal w2, w0, [x0] B8E330B6 ldsetal w3, w22, [x5] B8F23158 ldsetal w18, w24, [x10] B8E53112 ldsetal w5, w18, [x8] B8E932BC ldsetal w9, w28, [x21] B8FD31C3 ldsetal w29, w3, [x14] B8FD325E ldsetal w29, w30, [x18] B8E5330F ldsetal w5, w15, [x24] B8E73044 ldsetal w7, w4, [x2] B8E83163 ldsetal w8, w3, [x11] // LDSETAL_64_memop 11111000111xxxxx001100xxxxxxxxxx F8EC32D5 ldsetal x12, x21, [x22] F8EA323E ldsetal x10, x30, [x17] F8ED32DB ldsetal x13, x27, [x22] F8E532D3 ldsetal x5, x19, [x22] F8F03051 ldsetal x16, x17, [x2] F8EF3383 ldsetal x15, x3, [x28] F8E533DF ldsetal x5, xzr, [x30] F8EB3247 ldsetal x11, x7, [x18] F8FB3047 ldsetal x27, x7, [x2] F8E233E9 ldsetal x2, x9, [sp] F8F33287 ldsetal x19, x7, [x20] F8EA31FD ldsetal x10, x29, [x15] F8E9321D ldsetal x9, x29, [x16] F8E03111 ldsetal x0, x17, [x8] F8E93042 ldsetal x9, x2, [x2] F8F9302B ldsetal x25, x11, [x1] // LDSETA_32_memop 10111000101xxxxx001100xxxxxxxxxx B8B73325 ldseta w23, w5, [x25] B8B832CD ldseta w24, w13, [x22] B8A23371 ldseta w2, w17, [x27] B8B63294 ldseta w22, w20, [x20] B8B2320B ldseta w18, w11, [x16] B8B63307 ldseta w22, w7, [x24] B8B9311E ldseta w25, w30, [x8] B8A1303F ldseta w1, wzr, [x1] B8B133D9 ldseta w17, w25, [x30] B8A633B4 ldseta w6, w20, [x29] B8BA3393 ldseta w26, w19, [x28] B8AF339C ldseta w15, w28, [x28] B8AE30AB ldseta w14, w11, [x5] B8B73087 ldseta w23, w7, [x4] B8BC31A9 ldseta w28, w9, [x13] B8BD327B ldseta w29, w27, [x19] // LDSETA_64_memop 11111000101xxxxx001100xxxxxxxxxx F8A2331F ldseta x2, xzr, [x24] F8B13130 ldseta x17, x16, [x9] F8AA302F ldseta x10, x15, [x1] F8BF30F3 ldseta xzr, x19, [x7] F8AE312A ldseta x14, x10, [x9] F8B53129 ldseta x21, x9, [x9] F8BA33A7 ldseta x26, x7, [x29] F8AE32A5 ldseta x14, x5, [x21] F8AC3194 ldseta x12, x20, [x12] F8A230D1 ldseta x2, x17, [x6] F8B230A2 ldseta x18, x2, [x5] F8B33305 ldseta x19, x5, [x24] F8AE30A1 ldseta x14, x1, [x5] F8BA3064 ldseta x26, x4, [x3] F8AA3339 ldseta x10, x25, [x25] F8A93342 ldseta x9, x2, [x26] // LDSETB_32_memop 00111000001xxxxx001100xxxxxxxxxx 382132FD ldsetb w1, w29, [x23] 38283132 ldsetb w8, w18, [x9] 383430F5 ldsetb w20, w21, [x7] 3829339B ldsetb w9, w27, [x28] 3821322B ldsetb w1, w11, [x17] 383230D7 ldsetb w18, w23, [x6] 382C333A ldsetb w12, w26, [x25] 383B310E ldsetb w27, w14, [x8] 382D3369 ldsetb w13, w9, [x27] 383231B3 ldsetb w18, w19, [x13] 383F30B5 ldsetb wzr, w21, [x5] 3825332A ldsetb w5, w10, [x25] 383230DD ldsetb w18, w29, [x6] 383A3210 ldsetb w26, w16, [x16] 382230E9 ldsetb w2, w9, [x7] 382032E7 ldsetb w0, w7, [x23] // LDSETH_32_memop 01111000001xxxxx001100xxxxxxxxxx 783F33DC ldseth wzr, w28, [x30] 783E3399 ldseth w30, w25, [x28] 78273158 ldseth w7, w24, [x10] 783B32A0 ldseth w27, w0, [x21] 782832B7 ldseth w8, w23, [x21] 782232A4 ldseth w2, w4, [x21] 78273076 ldseth w7, w22, [x3] 782633EA ldseth w6, w10, [sp] 783A30DD ldseth w26, w29, [x6] 782C33FA ldseth w12, w26, [sp] 78393026 ldseth w25, w6, [x1] 783E304E ldseth w30, w14, [x2] 78363124 ldseth w22, w4, [x9] 78273011 ldseth w7, w17, [x0] 782433DC ldseth w4, w28, [x30] 782432FA ldseth w4, w26, [x23] // LDSETLB_32_memop 00111000011xxxxx001100xxxxxxxxxx 3865327E ldsetlb w5, w30, [x19] 386130D2 ldsetlb w1, w18, [x6] 38693346 ldsetlb w9, w6, [x26] 38633250 ldsetlb w3, w16, [x18] 387431BC ldsetlb w20, w28, [x13] 387B3064 ldsetlb w27, w4, [x3] 38733017 ldsetlb w19, w23, [x0] 38743021 ldsetlb w20, w1, [x1] 386F30A1 ldsetlb w15, w1, [x5] 386033A5 ldsetlb w0, w5, [x29] 387E321E ldsetlb w30, w30, [x16] 387133C5 ldsetlb w17, w5, [x30] 38733234 ldsetlb w19, w20, [x17] 386C33BB ldsetlb w12, w27, [x29] 38673015 ldsetlb w7, w21, [x0] 386F30BC ldsetlb w15, w28, [x5] // LDSETLH_32_memop 01111000011xxxxx001100xxxxxxxxxx 786531CB ldsetlh w5, w11, [x14] 78703187 ldsetlh w16, w7, [x12] 787F3218 ldsetlh wzr, w24, [x16] 787D310B ldsetlh w29, w11, [x8] 787B3115 ldsetlh w27, w21, [x8] 78723251 ldsetlh w18, w17, [x18] 787D335D ldsetlh w29, w29, [x26] 787B3176 ldsetlh w27, w22, [x11] 786F323D ldsetlh w15, w29, [x17] 78703250 ldsetlh w16, w16, [x18] 78753025 ldsetlh w21, w5, [x1] 787F31A6 ldsetlh wzr, w6, [x13] 78633106 ldsetlh w3, w6, [x8] 7861325B ldsetlh w1, w27, [x18] 786431C4 ldsetlh w4, w4, [x14] 786831AD ldsetlh w8, w13, [x13] // LDSETL_32_memop 10111000011xxxxx001100xxxxxxxxxx B8763127 ldsetl w22, w7, [x9] B86B334A ldsetl w11, w10, [x26] B878314D ldsetl w24, w13, [x10] B86433A6 ldsetl w4, w6, [x29] B8703160 ldsetl w16, w0, [x11] B86A3301 ldsetl w10, w1, [x24] B86531B7 ldsetl w5, w23, [x13] B8623355 ldsetl w2, w21, [x26] B86F3396 ldsetl w15, w22, [x28] B8733379 ldsetl w19, w25, [x27] B8773181 ldsetl w23, w1, [x12] B8713381 ldsetl w17, w1, [x28] B87A33E3 ldsetl w26, w3, [sp] B8743219 ldsetl w20, w25, [x16] B87D3009 ldsetl w29, w9, [x0] B8693137 ldsetl w9, w23, [x9] // LDSETL_64_memop 11111000011xxxxx001100xxxxxxxxxx F87C32B9 ldsetl x28, x25, [x21] F87530C3 ldsetl x21, x3, [x6] F87331DB ldsetl x19, x27, [x14] F8683343 ldsetl x8, x3, [x26] F8683019 ldsetl x8, x25, [x0] F87033C9 ldsetl x16, x9, [x30] F86A30F4 ldsetl x10, x20, [x7] F87E3270 ldsetl x30, x16, [x19] F86731E0 ldsetl x7, x0, [x15] F8713270 ldsetl x17, x16, [x19] F86A312F ldsetl x10, x15, [x9] F87A3352 ldsetl x26, x18, [x26] F87230C2 ldsetl x18, x2, [x6] F87B3307 ldsetl x27, x7, [x24] F8773060 ldsetl x23, x0, [x3] F87C3175 ldsetl x28, x21, [x11] // LDSET_32_memop 10111000001xxxxx001100xxxxxxxxxx B8313305 ldset w17, w5, [x24] B82F330D ldset w15, w13, [x24] B83932EF ldset w25, w15, [x23] B82C33B9 ldset w12, w25, [x29] B831310C ldset w17, w12, [x8] B835300C ldset w21, w12, [x0] B83F3258 ldset wzr, w24, [x18] B8273223 ldset w7, w3, [x17] B83C3000 ldset w28, w0, [x0] B835334D ldset w21, w13, [x26] B8333353 ldset w19, w19, [x26] B82233FB ldset w2, w27, [sp] B8233024 ldset w3, w4, [x1] B83E3260 ldset w30, w0, [x19] B832305A ldset w18, w26, [x2] B8323119 ldset w18, w25, [x8] // LDSET_64_memop 11111000001xxxxx001100xxxxxxxxxx F8273000 ldset x7, x0, [x0] F837322F ldset x23, x15, [x17] F82B308F ldset x11, x15, [x4] F82D3244 ldset x13, x4, [x18] F82732CE ldset x7, x14, [x22] F829321A ldset x9, x26, [x16] F829304F ldset x9, x15, [x2] F82932FE ldset x9, x30, [x23] F827319A ldset x7, x26, [x12] F8233226 ldset x3, x6, [x17] F82F307D ldset x15, x29, [x3] F83733A7 ldset x23, x7, [x29] F8263274 ldset x6, x20, [x19] F8333224 ldset x19, x4, [x17] F8213025 ldset x1, x5, [x1] F8293043 ldset x9, x3, [x2] // LDSMAXAB_32_memop 00111000101xxxxx010000xxxxxxxxxx 38B94171 ldsmaxab w25, w17, [x11] 38B94358 ldsmaxab w25, w24, [x26] 38AD41F0 ldsmaxab w13, w16, [x15] 38BA4252 ldsmaxab w26, w18, [x18] 38BE42BD ldsmaxab w30, w29, [x21] 38A34026 ldsmaxab w3, w6, [x1] 38B841B3 ldsmaxab w24, w19, [x13] 38A741EE ldsmaxab w7, w14, [x15] 38B640EC ldsmaxab w22, w12, [x7] 38A9422A ldsmaxab w9, w10, [x17] 38A840E3 ldsmaxab w8, w3, [x7] 38AD43E8 ldsmaxab w13, w8, [sp] 38B140B8 ldsmaxab w17, w24, [x5] 38BD42C1 ldsmaxab w29, w1, [x22] 38A5417A ldsmaxab w5, w26, [x11] 38B041DF ldsmaxab w16, wzr, [x14] // LDSMAXAH_32_memop 01111000101xxxxx010000xxxxxxxxxx 78AE4342 ldsmaxah w14, w2, [x26] 78BD42D9 ldsmaxah w29, w25, [x22] 78B643F1 ldsmaxah w22, w17, [sp] 78AF437B ldsmaxah w15, w27, [x27] 78AC42E0 ldsmaxah w12, w0, [x23] 78AE4314 ldsmaxah w14, w20, [x24] 78AB4071 ldsmaxah w11, w17, [x3] 78A0408E ldsmaxah w0, w14, [x4] 78A942A2 ldsmaxah w9, w2, [x21] 78BF4041 ldsmaxah wzr, w1, [x2] 78A743A3 ldsmaxah w7, w3, [x29] 78B2414F ldsmaxah w18, w15, [x10] 78BA412C ldsmaxah w26, w12, [x9] 78AD4086 ldsmaxah w13, w6, [x4] 78BF41F1 ldsmaxah wzr, w17, [x15] 78A74163 ldsmaxah w7, w3, [x11] // LDSMAXALB_32_memop 00111000111xxxxx010000xxxxxxxxxx 38F343D6 ldsmaxalb w19, w22, [x30] 38F44027 ldsmaxalb w20, w7, [x1] 38F34203 ldsmaxalb w19, w3, [x16] 38F842AC ldsmaxalb w24, w12, [x21] 38E141EA ldsmaxalb w1, w10, [x15] 38E44388 ldsmaxalb w4, w8, [x28] 38EE412B ldsmaxalb w14, w11, [x9] 38FE435F ldsmaxalb w30, wzr, [x26] 38E34211 ldsmaxalb w3, w17, [x16] 38F140D6 ldsmaxalb w17, w22, [x6] 38EF409E ldsmaxalb w15, w30, [x4] 38FE4222 ldsmaxalb w30, w2, [x17] 38FC41BB ldsmaxalb w28, w27, [x13] 38E34153 ldsmaxalb w3, w19, [x10] 38EF4395 ldsmaxalb w15, w21, [x28] 38F143AA ldsmaxalb w17, w10, [x29] // LDSMAXALH_32_memop 01111000111xxxxx010000xxxxxxxxxx 78F14325 ldsmaxalh w17, w5, [x25] 78FD435F ldsmaxalh w29, wzr, [x26] 78E44292 ldsmaxalh w4, w18, [x20] 78FB403A ldsmaxalh w27, w26, [x1] 78E1402F ldsmaxalh w1, w15, [x1] 78E840AE ldsmaxalh w8, w14, [x5] 78EE40DA ldsmaxalh w14, w26, [x6] 78FB43D6 ldsmaxalh w27, w22, [x30] 78F24121 ldsmaxalh w18, w1, [x9] 78E44120 ldsmaxalh w4, w0, [x9] 78FA427F ldsmaxalh w26, wzr, [x19] 78E542F4 ldsmaxalh w5, w20, [x23] 78F64383 ldsmaxalh w22, w3, [x28] 78FF41CF ldsmaxalh wzr, w15, [x14] 78E54112 ldsmaxalh w5, w18, [x8] 78EA42AA ldsmaxalh w10, w10, [x21] // LDSMAXAL_32_memop 10111000111xxxxx010000xxxxxxxxxx B8F7402B ldsmaxal w23, w11, [x1] B8FF41F7 ldsmaxal wzr, w23, [x15] B8F543FF ldsmaxal w21, wzr, [sp] B8F1426E ldsmaxal w17, w14, [x19] B8F7433E ldsmaxal w23, w30, [x25] B8FA436C ldsmaxal w26, w12, [x27] B8FE40CF ldsmaxal w30, w15, [x6] B8FC42D6 ldsmaxal w28, w22, [x22] B8F740C9 ldsmaxal w23, w9, [x6] B8E74066 ldsmaxal w7, w6, [x3] B8E942AA ldsmaxal w9, w10, [x21] B8FC43D9 ldsmaxal w28, w25, [x30] B8F8413C ldsmaxal w24, w28, [x9] B8ED41E6 ldsmaxal w13, w6, [x15] B8F94272 ldsmaxal w25, w18, [x19] B8EF4231 ldsmaxal w15, w17, [x17] // LDSMAXAL_64_memop 11111000111xxxxx010000xxxxxxxxxx F8F34048 ldsmaxal x19, x8, [x2] F8F542EF ldsmaxal x21, x15, [x23] F8F642AD ldsmaxal x22, x13, [x21] F8E342C9 ldsmaxal x3, x9, [x22] F8FD422F ldsmaxal x29, x15, [x17] F8FB439D ldsmaxal x27, x29, [x28] F8FE4257 ldsmaxal x30, x23, [x18] F8F34322 ldsmaxal x19, x2, [x25] F8E54209 ldsmaxal x5, x9, [x16] F8FE40F6 ldsmaxal x30, x22, [x7] F8FD4359 ldsmaxal x29, x25, [x26] F8E14313 ldsmaxal x1, x19, [x24] F8F541FB ldsmaxal x21, x27, [x15] F8F1418E ldsmaxal x17, x14, [x12] F8FD424A ldsmaxal x29, x10, [x18] F8E5404E ldsmaxal x5, x14, [x2] // LDSMAXA_32_memop 10111000101xxxxx010000xxxxxxxxxx B8A140A9 ldsmaxa w1, w9, [x5] B8A441E8 ldsmaxa w4, w8, [x15] B8BC409A ldsmaxa w28, w26, [x4] B8A243E0 ldsmaxa w2, w0, [sp] B8A54193 ldsmaxa w5, w19, [x12] B8A442A3 ldsmaxa w4, w3, [x21] B8AB4392 ldsmaxa w11, w18, [x28] B8A741AE ldsmaxa w7, w14, [x13] B8BB400F ldsmaxa w27, w15, [x0] B8B64292 ldsmaxa w22, w18, [x20] B8B9407D ldsmaxa w25, w29, [x3] B8BE4212 ldsmaxa w30, w18, [x16] B8B04157 ldsmaxa w16, w23, [x10] B8A742FB ldsmaxa w7, w27, [x23] B8AD41D2 ldsmaxa w13, w18, [x14] B8B1404F ldsmaxa w17, w15, [x2] // LDSMAXA_64_memop 11111000101xxxxx010000xxxxxxxxxx F8B741A0 ldsmaxa x23, x0, [x13] F8A640B9 ldsmaxa x6, x25, [x5] F8AF43F4 ldsmaxa x15, x20, [sp] F8A84123 ldsmaxa x8, x3, [x9] F8A54041 ldsmaxa x5, x1, [x2] F8A24344 ldsmaxa x2, x4, [x26] F8BC40BB ldsmaxa x28, x27, [x5] F8AE414B ldsmaxa x14, x11, [x10] F8A040DD ldsmaxa x0, x29, [x6] F8AB4183 ldsmaxa x11, x3, [x12] F8A840AE ldsmaxa x8, x14, [x5] F8BF4120 ldsmaxa xzr, x0, [x9] F8AD4114 ldsmaxa x13, x20, [x8] F8AB4077 ldsmaxa x11, x23, [x3] F8A8431B ldsmaxa x8, x27, [x24] F8AF42F1 ldsmaxa x15, x17, [x23] // LDSMAXB_32_memop 00111000001xxxxx010000xxxxxxxxxx 382240E5 ldsmaxb w2, w5, [x7] 382D40EE ldsmaxb w13, w14, [x7] 38264093 ldsmaxb w6, w19, [x4] 383C4145 ldsmaxb w28, w5, [x10] 3837407A ldsmaxb w23, w26, [x3] 382E41B7 ldsmaxb w14, w23, [x13] 382C4213 ldsmaxb w12, w19, [x16] 38244051 ldsmaxb w4, w17, [x2] 3839430E ldsmaxb w25, w14, [x24] 382643A9 ldsmaxb w6, w9, [x29] 3820416F ldsmaxb w0, w15, [x11] 382340FD ldsmaxb w3, w29, [x7] 382B4239 ldsmaxb w11, w25, [x17] 382F432C ldsmaxb w15, w12, [x25] 3825423D ldsmaxb w5, w29, [x17] 38294213 ldsmaxb w9, w19, [x16] // LDSMAXH_32_memop 01111000001xxxxx010000xxxxxxxxxx 78284351 ldsmaxh w8, w17, [x26] 78264202 ldsmaxh w6, w2, [x16] 782A429B ldsmaxh w10, w27, [x20] 78354086 ldsmaxh w21, w6, [x4] 783D41B5 ldsmaxh w29, w21, [x13] 78354393 ldsmaxh w21, w19, [x28] 782A41D2 ldsmaxh w10, w18, [x14] 78254263 ldsmaxh w5, w3, [x19] 782441A0 ldsmaxh w4, w0, [x13] 782442CA ldsmaxh w4, w10, [x22] 782A43EF ldsmaxh w10, w15, [sp] 782442AB ldsmaxh w4, w11, [x21] 7839403E ldsmaxh w25, w30, [x1] 782341F6 ldsmaxh w3, w22, [x15] 782E41B8 ldsmaxh w14, w24, [x13] 782141AC ldsmaxh w1, w12, [x13] // LDSMAXLB_32_memop 00111000011xxxxx010000xxxxxxxxxx 38714220 ldsmaxlb w17, w0, [x17] 387F43A9 ldsmaxlb wzr, w9, [x29] 386B431E ldsmaxlb w11, w30, [x24] 386C4254 ldsmaxlb w12, w20, [x18] 38714287 ldsmaxlb w17, w7, [x20] 386743A0 ldsmaxlb w7, w0, [x29] 387D417C ldsmaxlb w29, w28, [x11] 386A431B ldsmaxlb w10, w27, [x24] 386D4118 ldsmaxlb w13, w24, [x8] 387B4331 ldsmaxlb w27, w17, [x25] 386C40B2 ldsmaxlb w12, w18, [x5] 387C425E ldsmaxlb w28, w30, [x18] 386B4258 ldsmaxlb w11, w24, [x18] 387741EC ldsmaxlb w23, w12, [x15] 387340CE ldsmaxlb w19, w14, [x6] 386B4193 ldsmaxlb w11, w19, [x12] // LDSMAXLH_32_memop 01111000011xxxxx010000xxxxxxxxxx 787C418C ldsmaxlh w28, w12, [x12] 786C4030 ldsmaxlh w12, w16, [x1] 786A42DB ldsmaxlh w10, w27, [x22] 786E403B ldsmaxlh w14, w27, [x1] 78624186 ldsmaxlh w2, w6, [x12] 78614157 ldsmaxlh w1, w23, [x10] 787943A0 ldsmaxlh w25, w0, [x29] 787A422A ldsmaxlh w26, w10, [x17] 787B421C ldsmaxlh w27, w28, [x16] 786A4378 ldsmaxlh w10, w24, [x27] 787E4211 ldsmaxlh w30, w17, [x16] 787340D8 ldsmaxlh w19, w24, [x6] 787D40A1 ldsmaxlh w29, w1, [x5] 787F4054 ldsmaxlh wzr, w20, [x2] 786A40F2 ldsmaxlh w10, w18, [x7] 787F4307 ldsmaxlh wzr, w7, [x24] // LDSMAXL_32_memop 10111000011xxxxx010000xxxxxxxxxx B87243B1 ldsmaxl w18, w17, [x29] B86541C7 ldsmaxl w5, w7, [x14] B8654399 ldsmaxl w5, w25, [x28] B87A4171 ldsmaxl w26, w17, [x11] B86C424D ldsmaxl w12, w13, [x18] B87840E0 ldsmaxl w24, w0, [x7] B87C4254 ldsmaxl w28, w20, [x18] B874415A ldsmaxl w20, w26, [x10] B86C4093 ldsmaxl w12, w19, [x4] B87042BB ldsmaxl w16, w27, [x21] B86D4355 ldsmaxl w13, w21, [x26] B87C414C ldsmaxl w28, w12, [x10] B87141A0 ldsmaxl w17, w0, [x13] B866424B ldsmaxl w6, w11, [x18] B86840C2 ldsmaxl w8, w2, [x6] B8624105 ldsmaxl w2, w5, [x8] // LDSMAXL_64_memop 11111000011xxxxx010000xxxxxxxxxx F87E42C4 ldsmaxl x30, x4, [x22] F8624145 ldsmaxl x2, x5, [x10] F87B413D ldsmaxl x27, x29, [x9] F86E4050 ldsmaxl x14, x16, [x2] F86242D5 ldsmaxl x2, x21, [x22] F8694208 ldsmaxl x9, x8, [x16] F873410E ldsmaxl x19, x14, [x8] F86D42CC ldsmaxl x13, x12, [x22] F86A43FC ldsmaxl x10, x28, [sp] F8664273 ldsmaxl x6, x19, [x19] F87840C3 ldsmaxl x24, x3, [x6] F86942F8 ldsmaxl x9, x24, [x23] F87642B4 ldsmaxl x22, x20, [x21] F87E4059 ldsmaxl x30, x25, [x2] F8604125 ldsmaxl x0, x5, [x9] F8654152 ldsmaxl x5, x18, [x10] // LDSMAX_32_memop 10111000001xxxxx010000xxxxxxxxxx B83C41D3 ldsmax w28, w19, [x14] B83A42CF ldsmax w26, w15, [x22] B82D421C ldsmax w13, w28, [x16] B838410B ldsmax w24, w11, [x8] B83F4220 ldsmax wzr, w0, [x17] B83C421E ldsmax w28, w30, [x16] B8294289 ldsmax w9, w9, [x20] B82041A3 ldsmax w0, w3, [x13] B83B4337 ldsmax w27, w23, [x25] B83C433D ldsmax w28, w29, [x25] B824438E ldsmax w4, w14, [x28] B83D4372 ldsmax w29, w18, [x27] B83540E1 ldsmax w21, w1, [x7] B83640F2 ldsmax w22, w18, [x7] B83343B4 ldsmax w19, w20, [x29] B82D40D2 ldsmax w13, w18, [x6] // LDSMAX_64_memop 11111000001xxxxx010000xxxxxxxxxx F8334198 ldsmax x19, x24, [x12] F82941C0 ldsmax x9, x0, [x14] F82A43E3 ldsmax x10, x3, [sp] F8324059 ldsmax x18, x25, [x2] F8284003 ldsmax x8, x3, [x0] F83A4128 ldsmax x26, x8, [x9] F82640A4 ldsmax x6, x4, [x5] F83041C9 ldsmax x16, x9, [x14] F8254050 ldsmax x5, x16, [x2] F8394327 ldsmax x25, x7, [x25] F83B4117 ldsmax x27, x23, [x8] F832410C ldsmax x18, x12, [x8] F83140E4 ldsmax x17, x4, [x7] F8244097 ldsmax x4, x23, [x4] F82A41AF ldsmax x10, x15, [x13] F826413A ldsmax x6, x26, [x9] // LDSMINAB_32_memop 00111000101xxxxx010100xxxxxxxxxx 38B6506C ldsminab w22, w12, [x3] 38B45051 ldsminab w20, w17, [x2] 38A75238 ldsminab w7, w24, [x17] 38A952EE ldsminab w9, w14, [x23] 38B052CC ldsminab w16, w12, [x22] 38A751FE ldsminab w7, w30, [x15] 38B55354 ldsminab w21, w20, [x26] 38AE5160 ldsminab w14, w0, [x11] 38B353AD ldsminab w19, w13, [x29] 38A95123 ldsminab w9, w3, [x9] 38BF53F5 ldsminab wzr, w21, [sp] 38BB5142 ldsminab w27, w2, [x10] 38A552F4 ldsminab w5, w20, [x23] 38A452AF ldsminab w4, w15, [x21] 38BE52AE ldsminab w30, w14, [x21] 38BD53F3 ldsminab w29, w19, [sp] // LDSMINAH_32_memop 01111000101xxxxx010100xxxxxxxxxx 78A75376 ldsminah w7, w22, [x27] 78AC535F ldsminah w12, wzr, [x26] 78A151D6 ldsminah w1, w22, [x14] 78A45040 ldsminah w4, w0, [x2] 78B153C9 ldsminah w17, w9, [x30] 78B25025 ldsminah w18, w5, [x1] 78AC51FE ldsminah w12, w30, [x15] 78BC51CA ldsminah w28, w10, [x14] 78B25313 ldsminah w18, w19, [x24] 78B350DF ldsminah w19, wzr, [x6] 78A153BB ldsminah w1, w27, [x29] 78BC50BC ldsminah w28, w28, [x5] 78A552D4 ldsminah w5, w20, [x22] 78B651F2 ldsminah w22, w18, [x15] 78A650D9 ldsminah w6, w25, [x6] 78B852D1 ldsminah w24, w17, [x22] // LDSMINALB_32_memop 00111000111xxxxx010100xxxxxxxxxx 38EA521B ldsminalb w10, w27, [x16] 38FD52E8 ldsminalb w29, w8, [x23] 38F153DC ldsminalb w17, w28, [x30] 38F3528B ldsminalb w19, w11, [x20] 38EE5218 ldsminalb w14, w24, [x16] 38EE513D ldsminalb w14, w29, [x9] 38E651A2 ldsminalb w6, w2, [x13] 38ED535A ldsminalb w13, w26, [x26] 38F153EC ldsminalb w17, w12, [sp] 38E25009 ldsminalb w2, w9, [x0] 38F153F6 ldsminalb w17, w22, [sp] 38FC52AC ldsminalb w28, w12, [x21] 38FA51AC ldsminalb w26, w12, [x13] 38FE52D1 ldsminalb w30, w17, [x22] 38F253FE ldsminalb w18, w30, [sp] 38E253A7 ldsminalb w2, w7, [x29] // LDSMINALH_32_memop 01111000111xxxxx010100xxxxxxxxxx 78F951E9 ldsminalh w25, w9, [x15] 78E652CB ldsminalh w6, w11, [x22] 78F65331 ldsminalh w22, w17, [x25] 78E05109 ldsminalh w0, w9, [x8] 78F85307 ldsminalh w24, w7, [x24] 78F25171 ldsminalh w18, w17, [x11] 78FB523F ldsminalh w27, wzr, [x17] 78FB527B ldsminalh w27, w27, [x19] 78FA50CB ldsminalh w26, w11, [x6] 78E453F7 ldsminalh w4, w23, [sp] 78EA5142 ldsminalh w10, w2, [x10] 78EC534F ldsminalh w12, w15, [x26] 78ED5144 ldsminalh w13, w4, [x10] 78F35052 ldsminalh w19, w18, [x2] 78FA5199 ldsminalh w26, w25, [x12] 78E8536E ldsminalh w8, w14, [x27] // LDSMINAL_32_memop 10111000111xxxxx010100xxxxxxxxxx B8E25106 ldsminal w2, w6, [x8] B8F85077 ldsminal w24, w23, [x3] B8E152C1 ldsminal w1, w1, [x22] B8F05332 ldsminal w16, w18, [x25] B8E1515E ldsminal w1, w30, [x10] B8F05192 ldsminal w16, w18, [x12] B8E85291 ldsminal w8, w17, [x20] B8E053D1 ldsminal w0, w17, [x30] B8E95180 ldsminal w9, w0, [x12] B8E5537A ldsminal w5, w26, [x27] B8F0539C ldsminal w16, w28, [x28] B8F1512E ldsminal w17, w14, [x9] B8ED52F9 ldsminal w13, w25, [x23] B8E253B6 ldsminal w2, w22, [x29] B8F953F4 ldsminal w25, w20, [sp] B8F450ED ldsminal w20, w13, [x7] // LDSMINAL_64_memop 11111000111xxxxx010100xxxxxxxxxx F8E75337 ldsminal x7, x23, [x25] F8FA51A0 ldsminal x26, x0, [x13] F8E051EA ldsminal x0, x10, [x15] F8E35250 ldsminal x3, x16, [x18] F8E053A8 ldsminal x0, x8, [x29] F8EB520E ldsminal x11, x14, [x16] F8FC5119 ldsminal x28, x25, [x8] F8F65377 ldsminal x22, x23, [x27] F8FD53EB ldsminal x29, x11, [sp] F8E553DA ldsminal x5, x26, [x30] F8F95149 ldsminal x25, x9, [x10] F8EE521B ldsminal x14, x27, [x16] F8E05336 ldsminal x0, x22, [x25] F8E850FC ldsminal x8, x28, [x7] F8F75152 ldsminal x23, x18, [x10] F8EA51E2 ldsminal x10, x2, [x15] // LDSMINA_32_memop 10111000101xxxxx010100xxxxxxxxxx B8BA50BC ldsmina w26, w28, [x5] B8AF50A2 ldsmina w15, w2, [x5] B8B053C5 ldsmina w16, w5, [x30] B8BC52E0 ldsmina w28, w0, [x23] B8A65026 ldsmina w6, w6, [x1] B8AB500A ldsmina w11, w10, [x0] B8B551CB ldsmina w21, w11, [x14] B8BB5023 ldsmina w27, w3, [x1] B8B95081 ldsmina w25, w1, [x4] B8A651BC ldsmina w6, w28, [x13] B8AC513C ldsmina w12, w28, [x9] B8B351AA ldsmina w19, w10, [x13] B8A65042 ldsmina w6, w2, [x2] B8A35147 ldsmina w3, w7, [x10] B8B45383 ldsmina w20, w3, [x28] B8AF5026 ldsmina w15, w6, [x1] // LDSMINA_64_memop 11111000101xxxxx010100xxxxxxxxxx F8B852BD ldsmina x24, x29, [x21] F8A4501B ldsmina x4, x27, [x0] F8BF52E1 ldsmina xzr, x1, [x23] F8A851C9 ldsmina x8, x9, [x14] F8B550CF ldsmina x21, x15, [x6] F8BE52F0 ldsmina x30, x16, [x23] F8B4513B ldsmina x20, x27, [x9] F8BC5317 ldsmina x28, x23, [x24] F8A25322 ldsmina x2, x2, [x25] F8A751A4 ldsmina x7, x4, [x13] F8B75119 ldsmina x23, x25, [x8] F8B35042 ldsmina x19, x2, [x2] F8B853E0 ldsmina x24, x0, [sp] F8BC530A ldsmina x28, x10, [x24] F8B551AE ldsmina x21, x14, [x13] F8B05141 ldsmina x16, x1, [x10] // LDSMINB_32_memop 00111000001xxxxx010100xxxxxxxxxx 382453AA ldsminb w4, w10, [x29] 383A51D4 ldsminb w26, w20, [x14] 38295378 ldsminb w9, w24, [x27] 38335361 ldsminb w19, w1, [x27] 382852AA ldsminb w8, w10, [x21] 382F52EA ldsminb w15, w10, [x23] 383F5379 ldsminb wzr, w25, [x27] 382D507A ldsminb w13, w26, [x3] 38305062 ldsminb w16, w2, [x3] 3838526B ldsminb w24, w11, [x19] 383F5051 ldsminb wzr, w17, [x2] 3820504C ldsminb w0, w12, [x2] 383E5241 ldsminb w30, w1, [x18] 382B5276 ldsminb w11, w22, [x19] 3822524E ldsminb w2, w14, [x18] 382D53AA ldsminb w13, w10, [x29] // LDSMINH_32_memop 01111000001xxxxx010100xxxxxxxxxx 782C53C8 ldsminh w12, w8, [x30] 782A5279 ldsminh w10, w25, [x19] 783C50DA ldsminh w28, w26, [x6] 782F52AB ldsminh w15, w11, [x21] 78335273 ldsminh w19, w19, [x19] 782C507B ldsminh w12, w27, [x3] 783553AD ldsminh w21, w13, [x29] 78315102 ldsminh w17, w2, [x8] 783653B0 ldsminh w22, w16, [x29] 783D5176 ldsminh w29, w22, [x11] 782751F7 ldsminh w7, w23, [x15] 78315168 ldsminh w17, w8, [x11] 782C532C ldsminh w12, w12, [x25] 782A52A5 ldsminh w10, w5, [x21] 7821516A ldsminh w1, w10, [x11] 782250CC ldsminh w2, w12, [x6] // LDSMINLB_32_memop 00111000011xxxxx010100xxxxxxxxxx 386B53DD ldsminlb w11, w29, [x30] 386C5226 ldsminlb w12, w6, [x17] 3873519C ldsminlb w19, w28, [x12] 386551C0 ldsminlb w5, w0, [x14] 38695211 ldsminlb w9, w17, [x16] 3872523B ldsminlb w18, w27, [x17] 38795068 ldsminlb w25, w8, [x3] 38605272 ldsminlb w0, w18, [x19] 387B53D8 ldsminlb w27, w24, [x30] 386B51F7 ldsminlb w11, w23, [x15] 386D52BE ldsminlb w13, w30, [x21] 387C50B3 ldsminlb w28, w19, [x5] 387C52C7 ldsminlb w28, w7, [x22] 38655335 ldsminlb w5, w21, [x25] 387C5055 ldsminlb w28, w21, [x2] 387052D6 ldsminlb w16, w22, [x22] // LDSMINLH_32_memop 01111000011xxxxx010100xxxxxxxxxx 78715017 ldsminlh w17, w23, [x0] 786C52C2 ldsminlh w12, w2, [x22] 78745348 ldsminlh w20, w8, [x26] 78715161 ldsminlh w17, w1, [x11] 78625183 ldsminlh w2, w3, [x12] 786A50E6 ldsminlh w10, w6, [x7] 787D53E1 ldsminlh w29, w1, [sp] 78745149 ldsminlh w20, w9, [x10] 787D5071 ldsminlh w29, w17, [x3] 787F51B5 ldsminlh wzr, w21, [x13] 786B5012 ldsminlh w11, w18, [x0] 786C50C5 ldsminlh w12, w5, [x6] 787251B3 ldsminlh w18, w19, [x13] 786251B5 ldsminlh w2, w21, [x13] 78605087 ldsminlh w0, w7, [x4] 78745266 ldsminlh w20, w6, [x19] // LDSMINL_32_memop 10111000011xxxxx010100xxxxxxxxxx B86451BA ldsminl w4, w26, [x13] B86A52F8 ldsminl w10, w24, [x23] B8635213 ldsminl w3, w19, [x16] B8725123 ldsminl w18, w3, [x9] B87C5067 ldsminl w28, w7, [x3] B86E5155 ldsminl w14, w21, [x10] B865531E ldsminl w5, w30, [x24] B87751FA ldsminl w23, w26, [x15] B8605112 ldsminl w0, w18, [x8] B87951F6 ldsminl w25, w22, [x15] B87651F9 ldsminl w22, w25, [x15] B8725017 ldsminl w18, w23, [x0] B86A529D ldsminl w10, w29, [x20] B86750DD ldsminl w7, w29, [x6] B867505B ldsminl w7, w27, [x2] B87E5155 ldsminl w30, w21, [x10] // LDSMINL_64_memop 11111000011xxxxx010100xxxxxxxxxx F87E52CE ldsminl x30, x14, [x22] F8715057 ldsminl x17, x23, [x2] F87B536A ldsminl x27, x10, [x27] F874534C ldsminl x20, x12, [x26] F87352B1 ldsminl x19, x17, [x21] F868514C ldsminl x8, x12, [x10] F8785248 ldsminl x24, x8, [x18] F87752FB ldsminl x23, x27, [x23] F86F51D8 ldsminl x15, x24, [x14] F86750D9 ldsminl x7, x25, [x6] F8615399 ldsminl x1, x25, [x28] F8635235 ldsminl x3, x21, [x17] F87353A8 ldsminl x19, x8, [x29] F8785211 ldsminl x24, x17, [x16] F86D52F8 ldsminl x13, x24, [x23] F87D5093 ldsminl x29, x19, [x4] // LDSMIN_32_memop 10111000001xxxxx010100xxxxxxxxxx B83250C8 ldsmin w18, w8, [x6] B82253B0 ldsmin w2, w16, [x29] B820508A ldsmin w0, w10, [x4] B8395385 ldsmin w25, w5, [x28] B82A50ED ldsmin w10, w13, [x7] B82750ED ldsmin w7, w13, [x7] B83850AC ldsmin w24, w12, [x5] B82F5342 ldsmin w15, w2, [x26] B82A50BE ldsmin w10, w30, [x5] B8205091 ldsmin w0, w17, [x4] B82B533C ldsmin w11, w28, [x25] B82B5292 ldsmin w11, w18, [x20] B82D5393 ldsmin w13, w19, [x28] B83152CB ldsmin w17, w11, [x22] B82653F7 ldsmin w6, w23, [sp] B82E53A5 ldsmin w14, w5, [x29] // LDSMIN_64_memop 11111000001xxxxx010100xxxxxxxxxx F8275368 ldsmin x7, x8, [x27] F8265263 ldsmin x6, x3, [x19] F83C52CA ldsmin x28, x10, [x22] F8285136 ldsmin x8, x22, [x9] F82E533D ldsmin x14, x29, [x25] F82B5227 ldsmin x11, x7, [x17] F83850B7 ldsmin x24, x23, [x5] F83B53B7 ldsmin x27, x23, [x29] F82553BC ldsmin x5, x28, [x29] F82150E9 ldsmin x1, x9, [x7] F82252CD ldsmin x2, x13, [x22] F83A5066 ldsmin x26, x6, [x3] F8315334 ldsmin x17, x20, [x25] F835522D ldsmin x21, x13, [x17] F823506F ldsmin x3, x15, [x3] F831509A ldsmin x17, x26, [x4] // LDTRB_32_ldst_unpriv 00111000010xxxxxxxxx10xxxxxxxxxx 3852980D ldtrb w13, [x0, #-0xd7] 384F584E ldtrb w14, [x2, #0xf5] 385E0876 ldtrb w22, [x3, #-0x20] 38447B49 ldtrb w9, [x26, #0x47] 384F59F4 ldtrb w20, [x15, #0xf5] 385B0835 ldtrb w21, [x1, #-0x50] 3854EA77 ldtrb w23, [x19, #-0xb2] 3858F85E ldtrb w30, [x2, #-0x71] 385D499A ldtrb w26, [x12, #-0x2c] 38531886 ldtrb w6, [x4, #-0xcf] 384028B3 ldtrb w19, [x5, #0x2] 38547B38 ldtrb w24, [x25, #-0xb9] 385F698A ldtrb w10, [x12, #-0xa] 38595A74 ldtrb w20, [x19, #-0x6b] 384DB8F0 ldtrb w16, [x7, #0xdb] 38450ABF ldtrb wzr, [x21, #0x50] // LDTRH_32_ldst_unpriv 01111000010xxxxxxxxx10xxxxxxxxxx 7855CA5F ldtrh wzr, [x18, #-0xa4] 7843CA7D ldtrh w29, [x19, #0x3c] 784EF9C3 ldtrh w3, [x14, #0xef] 78520ABF ldtrh wzr, [x21, #-0xe0] 785E7B03 ldtrh w3, [x24, #-0x19] 785A6B4B ldtrh w11, [x26, #-0x5a] 7856A87D ldtrh w29, [x3, #-0x96] 78503A66 ldtrh w6, [x19, #-0xfd] 7844BB75 ldtrh w21, [x27, #0x4b] 78419AB7 ldtrh w23, [x21, #0x19] 7841F88D ldtrh w13, [x4, #0x1f] 78487B11 ldtrh w17, [x24, #0x87] 785E0983 ldtrh w3, [x12, #-0x20] 7843F91D ldtrh w29, [x8, #0x3f] 785E7823 ldtrh w3, [x1, #-0x19] 78569B76 ldtrh w22, [x27, #-0x97] // LDTRSB_32_ldst_unpriv 00111000110xxxxxxxxx10xxxxxxxxxx 38C7CA61 ldtrsb w1, [x19, #0x7c] 38C808D0 ldtrsb w16, [x6, #0x80] 38C05B8B ldtrsb w11, [x28, #0x5] 38D0584E ldtrsb w14, [x2, #-0xfb] 38D949F8 ldtrsb w24, [x15, #-0x6c] 38D59958 ldtrsb w24, [x10, #-0xa7] 38C3099B ldtrsb w27, [x12, #0x30] 38C9C89B ldtrsb w27, [x4, #0x9c] 38D3F9C5 ldtrsb w5, [x14, #-0xc1] 38D9495C ldtrsb w28, [x10, #-0x6c] 38CBAB45 ldtrsb w5, [x26, #0xba] 38C4492B ldtrsb w11, [x9, #0x44] 38D96A1E ldtrsb w30, [x16, #-0x6a] 38C40947 ldtrsb w7, [x10, #0x40] 38DBFB9F ldtrsb wzr, [x28, #-0x41] 38C5A91C ldtrsb w28, [x8, #0x5a] // LDTRSB_64_ldst_unpriv 00111000100xxxxxxxxx10xxxxxxxxxx 38807B98 ldtrsb x24, [x28, #0x7] 38990B46 ldtrsb x6, [x26, #-0x70] 388C1A9C ldtrsb x28, [x20, #0xc1] 38803B0A ldtrsb x10, [x24, #0x3] 389B9891 ldtrsb x17, [x4, #-0x47] 389FCA11 ldtrsb x17, [x16, #-0x4] 389AABF7 ldtrsb x23, [sp, #-0x56] 389D28D3 ldtrsb x19, [x6, #-0x2e] 38962B55 ldtrsb x21, [x26, #-0x9e] 388B3AF1 ldtrsb x17, [x23, #0xb3] 389D697D ldtrsb x29, [x11, #-0x2a] 3883C805 ldtrsb x5, [x0, #0x3c] 388D3A82 ldtrsb x2, [x20, #0xd3] 389D595F ldtrsb xzr, [x10, #-0x2b] 38899B5F ldtrsb xzr, [x26, #0x99] 389ACAB9 ldtrsb x25, [x21, #-0x54] // LDTRSH_32_ldst_unpriv 01111000110xxxxxxxxx10xxxxxxxxxx 78DC5AB2 ldtrsh w18, [x21, #-0x3b] 78D1992C ldtrsh w12, [x9, #-0xe7] 78D21897 ldtrsh w23, [x4, #-0xdf] 78C31994 ldtrsh w20, [x12, #0x31] 78D92A69 ldtrsh w9, [x19, #-0x6e] 78CA581D ldtrsh w29, [x0, #0xa5] 78C4EB42 ldtrsh w2, [x26, #0x4e] 78D5E83F ldtrsh wzr, [x1, #-0xa2] 78C8AB1E ldtrsh w30, [x24, #0x8a] 78D71B52 ldtrsh w18, [x26, #-0x8f] 78CBE912 ldtrsh w18, [x8, #0xbe] 78DAEB6D ldtrsh w13, [x27, #-0x52] 78D47B2A ldtrsh w10, [x25, #-0xb9] 78CB3ADE ldtrsh w30, [x22, #0xb3] 78D0AB81 ldtrsh w1, [x28, #-0xf6] 78D55ABC ldtrsh w28, [x21, #-0xab] // LDTRSH_64_ldst_unpriv 01111000100xxxxxxxxx10xxxxxxxxxx 7899687C ldtrsh x28, [x3, #-0x6a] 78947B8A ldtrsh x10, [x28, #-0xb9] 788AB959 ldtrsh x25, [x10, #0xab] 78838A98 ldtrsh x24, [x20, #0x38] 789DEA6C ldtrsh x12, [x19, #-0x22] 788E3BD2 ldtrsh x18, [x30, #0xe3] 7891E819 ldtrsh x25, [x0, #-0xe2] 788DBA40 ldtrsh x0, [x18, #0xdb] 788908C1 ldtrsh x1, [x6, #0x90] 7885B87C ldtrsh x28, [x3, #0x5b] 789C3821 ldtrsh x1, [x1, #-0x3d] 789F5926 ldtrsh x6, [x9, #-0xb] 7898882A ldtrsh x10, [x1, #-0x78] 7882296C ldtrsh x12, [x11, #0x22] 788C7986 ldtrsh x6, [x12, #0xc7] 7897CBA2 ldtrsh x2, [x29, #-0x84] // LDTRSW_64_ldst_unpriv 10111000100xxxxxxxxx10xxxxxxxxxx B8898803 ldtrsw x3, [x0, #0x98] B89A8925 ldtrsw x5, [x9, #-0x58] B89089DA ldtrsw x26, [x14, #-0xf8] B882BBBA ldtrsw x26, [x29, #0x2b] B8820AB6 ldtrsw x22, [x21, #0x20] B89ECA31 ldtrsw x17, [x17, #-0x14] B89DBB1D ldtrsw x29, [x24, #-0x25] B891A985 ldtrsw x5, [x12, #-0xe6] B89E5A65 ldtrsw x5, [x19, #-0x1b] B887F87E ldtrsw x30, [x3, #0x7f] B89DD9D8 ldtrsw x24, [x14, #-0x23] B89A3A07 ldtrsw x7, [x16, #-0x5d] B8861A34 ldtrsw x20, [x17, #0x61] B896B9BB ldtrsw x27, [x13, #-0x95] B885AAA3 ldtrsw x3, [x21, #0x5a] B891AAF8 ldtrsw x24, [x23, #-0xe6] // LDTR_32_ldst_unpriv 10111000010xxxxxxxxx10xxxxxxxxxx B841A98F ldtr w15, [x12, #0x1a] B8571808 ldtr w8, [x0, #-0x8f] B8522885 ldtr w5, [x4, #-0xde] B85EB823 ldtr w3, [x1, #-0x15] B8505835 ldtr w21, [x1, #-0xfb] B8413AC4 ldtr w4, [x22, #0x13] B8495824 ldtr w4, [x1, #0x95] B85AEAD9 ldtr w25, [x22, #-0x52] B85ADA87 ldtr w7, [x20, #-0x53] B840CA1C ldtr w28, [x16, #0xc] B84C9813 ldtr w19, [x0, #0xc9] B84C784A ldtr w10, [x2, #0xc7] B85BD90F ldtr w15, [x8, #-0x43] B8530ADC ldtr w28, [x22, #-0xd0] B859CA91 ldtr w17, [x20, #-0x64] B84ED862 ldtr w2, [x3, #0xed] // LDTR_64_ldst_unpriv 11111000010xxxxxxxxx10xxxxxxxxxx F85E09FB ldtr x27, [x15, #-0x20] F84DCA7A ldtr x26, [x19, #0xdc] F85DE9FA ldtr x26, [x15, #-0x22] F84669AA ldtr x10, [x13, #0x66] F8503A24 ldtr x4, [x17, #-0xfd] F85538A9 ldtr x9, [x5, #-0xad] F8529B69 ldtr x9, [x27, #-0xd7] F8432875 ldtr x21, [x3, #0x32] F84E8943 ldtr x3, [x10, #0xe8] F8513ADC ldtr x28, [x22, #-0xed] F855CA7A ldtr x26, [x19, #-0xa4] F84EBA2D ldtr x13, [x17, #0xeb] F84C6AD1 ldtr x17, [x22, #0xc6] F840FA6F ldtr x15, [x19, #0xf] F845FA6A ldtr x10, [x19, #0x5f] F8426969 ldtr x9, [x11, #0x26] // LDUMAXAB_32_memop 00111000101xxxxx011000xxxxxxxxxx 38A162AB ldumaxab w1, w11, [x21] 38A962E7 ldumaxab w9, w7, [x23] 38A763B9 ldumaxab w7, w25, [x29] 38B163DF ldumaxab w17, wzr, [x30] 38B26261 ldumaxab w18, w1, [x19] 38BA623B ldumaxab w26, w27, [x17] 38BE63E2 ldumaxab w30, w2, [sp] 38BE6162 ldumaxab w30, w2, [x11] 38B4633C ldumaxab w20, w28, [x25] 38AB63DA ldumaxab w11, w26, [x30] 38A0632A ldumaxab w0, w10, [x25] 38AD60CE ldumaxab w13, w14, [x6] 38B6615A ldumaxab w22, w26, [x10] 38BC6353 ldumaxab w28, w19, [x26] 38BA60FC ldumaxab w26, w28, [x7] 38BB634D ldumaxab w27, w13, [x26] // LDUMAXAH_32_memop 01111000101xxxxx011000xxxxxxxxxx 78BE6346 ldumaxah w30, w6, [x26] 78A862C6 ldumaxah w8, w6, [x22] 78A261C9 ldumaxah w2, w9, [x14] 78BA6200 ldumaxah w26, w0, [x16] 78A6602A ldumaxah w6, w10, [x1] 78A961BB ldumaxah w9, w27, [x13] 78B862A8 ldumaxah w24, w8, [x21] 78AE625A ldumaxah w14, w26, [x18] 78BD611A ldumaxah w29, w26, [x8] 78B4626F ldumaxah w20, w15, [x19] 78B96031 ldumaxah w25, w17, [x1] 78AD6256 ldumaxah w13, w22, [x18] 78BA620A ldumaxah w26, w10, [x16] 78A763F1 ldumaxah w7, w17, [sp] 78BE6382 ldumaxah w30, w2, [x28] 78BB6099 ldumaxah w27, w25, [x4] // LDUMAXALB_32_memop 00111000111xxxxx011000xxxxxxxxxx 38E961D9 ldumaxalb w9, w25, [x14] 38EC60F7 ldumaxalb w12, w23, [x7] 38FF606F ldumaxalb wzr, w15, [x3] 38FF6176 ldumaxalb wzr, w22, [x11] 38E66200 ldumaxalb w6, w0, [x16] 38F56075 ldumaxalb w21, w21, [x3] 38E46360 ldumaxalb w4, w0, [x27] 38F8603C ldumaxalb w24, w28, [x1] 38EA61FF ldumaxalb w10, wzr, [x15] 38E562AE ldumaxalb w5, w14, [x21] 38F36177 ldumaxalb w19, w23, [x11] 38E96084 ldumaxalb w9, w4, [x4] 38F86331 ldumaxalb w24, w17, [x25] 38F56031 ldumaxalb w21, w17, [x1] 38FE600A ldumaxalb w30, w10, [x0] 38E86351 ldumaxalb w8, w17, [x26] // LDUMAXALH_32_memop 01111000111xxxxx011000xxxxxxxxxx 78F961CA ldumaxalh w25, w10, [x14] 78EE6018 ldumaxalh w14, w24, [x0] 78EF6123 ldumaxalh w15, w3, [x9] 78FF61B9 ldumaxalh wzr, w25, [x13] 78EB63E7 ldumaxalh w11, w7, [sp] 78E66189 ldumaxalh w6, w9, [x12] 78F96243 ldumaxalh w25, w3, [x18] 78F461E6 ldumaxalh w20, w6, [x15] 78E66235 ldumaxalh w6, w21, [x17] 78E26296 ldumaxalh w2, w22, [x20] 78FA6032 ldumaxalh w26, w18, [x1] 78FF63DF ldumaxalh wzr, wzr, [x30] 78FE6166 ldumaxalh w30, w6, [x11] 78F863FC ldumaxalh w24, w28, [sp] 78E06333 ldumaxalh w0, w19, [x25] 78E261B3 ldumaxalh w2, w19, [x13] // LDUMAXAL_32_memop 10111000111xxxxx011000xxxxxxxxxx B8E9635E ldumaxal w9, w30, [x26] B8E56120 ldumaxal w5, w0, [x9] B8EA62C2 ldumaxal w10, w2, [x22] B8FE624C ldumaxal w30, w12, [x18] B8E162A0 ldumaxal w1, w0, [x21] B8E8615F ldumaxal w8, wzr, [x10] B8E96004 ldumaxal w9, w4, [x0] B8E761E1 ldumaxal w7, w1, [x15] B8E66135 ldumaxal w6, w21, [x9] B8E463B9 ldumaxal w4, w25, [x29] B8FE6383 ldumaxal w30, w3, [x28] B8E661FF ldumaxal w6, wzr, [x15] B8F16113 ldumaxal w17, w19, [x8] B8ED6340 ldumaxal w13, w0, [x26] B8FC6068 ldumaxal w28, w8, [x3] B8FC62F4 ldumaxal w28, w20, [x23] // LDUMAXAL_64_memop 11111000111xxxxx011000xxxxxxxxxx F8FB61F7 ldumaxal x27, x23, [x15] F8E16193 ldumaxal x1, x19, [x12] F8FF6164 ldumaxal xzr, x4, [x11] F8E461FF ldumaxal x4, xzr, [x15] F8F262CA ldumaxal x18, x10, [x22] F8FB62B2 ldumaxal x27, x18, [x21] F8FC61A1 ldumaxal x28, x1, [x13] F8E5639E ldumaxal x5, x30, [x28] F8FB61FF ldumaxal x27, xzr, [x15] F8F36093 ldumaxal x19, x19, [x4] F8EC6355 ldumaxal x12, x21, [x26] F8F161B7 ldumaxal x17, x23, [x13] F8F2620A ldumaxal x18, x10, [x16] F8F2626E ldumaxal x18, x14, [x19] F8F26082 ldumaxal x18, x2, [x4] F8FC617D ldumaxal x28, x29, [x11] // LDUMAXA_32_memop 10111000101xxxxx011000xxxxxxxxxx B8AA620B ldumaxa w10, w11, [x16] B8BD610B ldumaxa w29, w11, [x8] B8A463FD ldumaxa w4, w29, [sp] B8A86386 ldumaxa w8, w6, [x28] B8BC606A ldumaxa w28, w10, [x3] B8A86396 ldumaxa w8, w22, [x28] B8B360D7 ldumaxa w19, w23, [x6] B8A66129 ldumaxa w6, w9, [x9] B8BB60A3 ldumaxa w27, w3, [x5] B8B16090 ldumaxa w17, w16, [x4] B8B361AE ldumaxa w19, w14, [x13] B8A3610B ldumaxa w3, w11, [x8] B8BE62A8 ldumaxa w30, w8, [x21] B8BC60AE ldumaxa w28, w14, [x5] B8AC6168 ldumaxa w12, w8, [x11] B8B36021 ldumaxa w19, w1, [x1] // LDUMAXA_64_memop 11111000101xxxxx011000xxxxxxxxxx F8A860D6 ldumaxa x8, x22, [x6] F8AF6172 ldumaxa x15, x18, [x11] F8AC638F ldumaxa x12, x15, [x28] F8AC60DF ldumaxa x12, xzr, [x6] F8AE62DD ldumaxa x14, x29, [x22] F8AB6399 ldumaxa x11, x25, [x28] F8A9616E ldumaxa x9, x14, [x11] F8A563BE ldumaxa x5, x30, [x29] F8B0608D ldumaxa x16, x13, [x4] F8AA6150 ldumaxa x10, x16, [x10] F8A2603C ldumaxa x2, x28, [x1] F8B16311 ldumaxa x17, x17, [x24] F8B363A4 ldumaxa x19, x4, [x29] F8A5618E ldumaxa x5, x14, [x12] F8A662DD ldumaxa x6, x29, [x22] F8BD6307 ldumaxa x29, x7, [x24] // LDUMAXB_32_memop 00111000001xxxxx011000xxxxxxxxxx 383D6248 ldumaxb w29, w8, [x18] 38246375 ldumaxb w4, w21, [x27] 38396209 ldumaxb w25, w9, [x16] 383F6036 ldumaxb wzr, w22, [x1] 3826630B ldumaxb w6, w11, [x24] 38216392 ldumaxb w1, w18, [x28] 3825600E ldumaxb w5, w14, [x0] 383463A5 ldumaxb w20, w5, [x29] 3830619E ldumaxb w16, w30, [x12] 382962B4 ldumaxb w9, w20, [x21] 383D6091 ldumaxb w29, w17, [x4] 382E6008 ldumaxb w14, w8, [x0] 3832633C ldumaxb w18, w28, [x25] 382963EE ldumaxb w9, w14, [sp] 383863F3 ldumaxb w24, w19, [sp] 38226205 ldumaxb w2, w5, [x16] // LDUMAXH_32_memop 01111000001xxxxx011000xxxxxxxxxx 782D6102 ldumaxh w13, w2, [x8] 783D6137 ldumaxh w29, w23, [x9] 782A60F1 ldumaxh w10, w17, [x7] 7838603A ldumaxh w24, w26, [x1] 783960A4 ldumaxh w25, w4, [x5] 7826618C ldumaxh w6, w12, [x12] 783361B3 ldumaxh w19, w19, [x13] 782D62DC ldumaxh w13, w28, [x22] 78256239 ldumaxh w5, w25, [x17] 78326274 ldumaxh w18, w20, [x19] 782E63A2 ldumaxh w14, w2, [x29] 783463F3 ldumaxh w20, w19, [sp] 782F61D5 ldumaxh w15, w21, [x14] 7828608F ldumaxh w8, w15, [x4] 7835609E ldumaxh w21, w30, [x4] 783160DB ldumaxh w17, w27, [x6] // LDUMAXLB_32_memop 00111000011xxxxx011000xxxxxxxxxx 38606051 ldumaxlb w0, w17, [x2] 38626123 ldumaxlb w2, w3, [x9] 387560CD ldumaxlb w21, w13, [x6] 3865627C ldumaxlb w5, w28, [x19] 387263FA ldumaxlb w18, w26, [sp] 387C608D ldumaxlb w28, w13, [x4] 387C6162 ldumaxlb w28, w2, [x11] 3871601E ldumaxlb w17, w30, [x0] 387C623E ldumaxlb w28, w30, [x17] 387D6176 ldumaxlb w29, w22, [x11] 386C6177 ldumaxlb w12, w23, [x11] 386560F1 ldumaxlb w5, w17, [x7] 387E61D4 ldumaxlb w30, w20, [x14] 386C60A0 ldumaxlb w12, w0, [x5] 386D624C ldumaxlb w13, w12, [x18] 38786151 ldumaxlb w24, w17, [x10] // LDUMAXLH_32_memop 01111000011xxxxx011000xxxxxxxxxx 787F63ED ldumaxlh wzr, w13, [sp] 78676326 ldumaxlh w7, w6, [x25] 78716305 ldumaxlh w17, w5, [x24] 786663EB ldumaxlh w6, w11, [sp] 78726190 ldumaxlh w18, w16, [x12] 787E6294 ldumaxlh w30, w20, [x20] 78646223 ldumaxlh w4, w3, [x17] 787F6031 ldumaxlh wzr, w17, [x1] 78796292 ldumaxlh w25, w18, [x20] 787B6293 ldumaxlh w27, w19, [x20] 786F6322 ldumaxlh w15, w2, [x25] 787B6123 ldumaxlh w27, w3, [x9] 786E61CE ldumaxlh w14, w14, [x14] 78636262 ldumaxlh w3, w2, [x19] 78786337 ldumaxlh w24, w23, [x25] 787B6015 ldumaxlh w27, w21, [x0] // LDUMAXL_32_memop 10111000011xxxxx011000xxxxxxxxxx B87661E3 ldumaxl w22, w3, [x15] B87A60E9 ldumaxl w26, w9, [x7] B87A62B7 ldumaxl w26, w23, [x21] B86B606B ldumaxl w11, w11, [x3] B87A628E ldumaxl w26, w14, [x20] B872611A ldumaxl w18, w26, [x8] B86663A7 ldumaxl w6, w7, [x29] B87562EC ldumaxl w21, w12, [x23] B86263B5 ldumaxl w2, w21, [x29] B86960CB ldumaxl w9, w11, [x6] B86B6042 ldumaxl w11, w2, [x2] B86E6316 ldumaxl w14, w22, [x24] B87A60E3 ldumaxl w26, w3, [x7] B8726320 ldumaxl w18, w0, [x25] B87E60CD ldumaxl w30, w13, [x6] B8706002 ldumaxl w16, w2, [x0] // LDUMAXL_64_memop 11111000011xxxxx011000xxxxxxxxxx F87B61AF ldumaxl x27, x15, [x13] F87061D9 ldumaxl x16, x25, [x14] F87263AA ldumaxl x18, x10, [x29] F87462DB ldumaxl x20, x27, [x22] F87E60F5 ldumaxl x30, x21, [x7] F8756397 ldumaxl x21, x23, [x28] F86561E3 ldumaxl x5, x3, [x15] F874619E ldumaxl x20, x30, [x12] F8706134 ldumaxl x16, x20, [x9] F86E60B2 ldumaxl x14, x18, [x5] F8606176 ldumaxl x0, x22, [x11] F8686360 ldumaxl x8, x0, [x27] F86760DC ldumaxl x7, x28, [x6] F86F63DC ldumaxl x15, x28, [x30] F8646045 ldumaxl x4, x5, [x2] F87A6327 ldumaxl x26, x7, [x25] // LDUMAX_32_memop 10111000001xxxxx011000xxxxxxxxxx B83363DE ldumax w19, w30, [x30] B82E611A ldumax w14, w26, [x8] B838634B ldumax w24, w11, [x26] B8386256 ldumax w24, w22, [x18] B8246154 ldumax w4, w20, [x10] B82E604A ldumax w14, w10, [x2] B837639E ldumax w23, w30, [x28] B83063BB ldumax w16, w27, [x29] B82D600A ldumax w13, w10, [x0] B8396378 ldumax w25, w24, [x27] B823621E ldumax w3, w30, [x16] B839616D ldumax w25, w13, [x11] B82663C9 ldumax w6, w9, [x30] B829621B ldumax w9, w27, [x16] B83E61E0 ldumax w30, w0, [x15] B8206110 ldumax w0, w16, [x8] // LDUMAX_64_memop 11111000001xxxxx011000xxxxxxxxxx F83862C1 ldumax x24, x1, [x22] F8316260 ldumax x17, x0, [x19] F8246114 ldumax x4, x20, [x8] F82B6362 ldumax x11, x2, [x27] F839608D ldumax x25, x13, [x4] F8286066 ldumax x8, x6, [x3] F83B634F ldumax x27, x15, [x26] F8306293 ldumax x16, x19, [x20] F82062B9 ldumax x0, x25, [x21] F822626B ldumax x2, x11, [x19] F8256342 ldumax x5, x2, [x26] F8366349 ldumax x22, x9, [x26] F83A63E8 ldumax x26, x8, [sp] F83063E1 ldumax x16, x1, [sp] F82160BC ldumax x1, x28, [x5] F8206129 ldumax x0, x9, [x9] // LDUMINAB_32_memop 00111000101xxxxx011100xxxxxxxxxx 38A070FF lduminab w0, wzr, [x7] 38BE73DF lduminab w30, wzr, [x30] 38B07232 lduminab w16, w18, [x17] 38BF70D1 lduminab wzr, w17, [x6] 38BB72B4 lduminab w27, w20, [x21] 38B17098 lduminab w17, w24, [x4] 38BA72BE lduminab w26, w30, [x21] 38AD7217 lduminab w13, w23, [x16] 38BA739B lduminab w26, w27, [x28] 38B57013 lduminab w21, w19, [x0] 38B4716D lduminab w20, w13, [x11] 38A171CD lduminab w1, w13, [x14] 38A6715F lduminab w6, wzr, [x10] 38AB716B lduminab w11, w11, [x11] 38B27391 lduminab w18, w17, [x28] 38B972BA lduminab w25, w26, [x21] // LDUMINAH_32_memop 01111000101xxxxx011100xxxxxxxxxx 78A671EF lduminah w6, w15, [x15] 78A4722F lduminah w4, w15, [x17] 78AF7151 lduminah w15, w17, [x10] 78A2724C lduminah w2, w12, [x18] 78B07260 lduminah w16, w0, [x19] 78A97016 lduminah w9, w22, [x0] 78B8718D lduminah w24, w13, [x12] 78BD7176 lduminah w29, w22, [x11] 78A672D6 lduminah w6, w22, [x22] 78AE7130 lduminah w14, w16, [x9] 78A0707A lduminah w0, w26, [x3] 78A7735D lduminah w7, w29, [x26] 78B970A8 lduminah w25, w8, [x5] 78A6716F lduminah w6, w15, [x11] 78BA7307 lduminah w26, w7, [x24] 78A2709D lduminah w2, w29, [x4] // LDUMINALB_32_memop 00111000111xxxxx011100xxxxxxxxxx 38F87262 lduminalb w24, w2, [x19] 38E07014 lduminalb w0, w20, [x0] 38ED7364 lduminalb w13, w4, [x27] 38E5718B lduminalb w5, w11, [x12] 38FF71F6 lduminalb wzr, w22, [x15] 38EA7038 lduminalb w10, w24, [x1] 38E07215 lduminalb w0, w21, [x16] 38E47303 lduminalb w4, w3, [x24] 38F273BD lduminalb w18, w29, [x29] 38F9719F lduminalb w25, wzr, [x12] 38E073D9 lduminalb w0, w25, [x30] 38ED7034 lduminalb w13, w20, [x1] 38ED736E lduminalb w13, w14, [x27] 38F673F9 lduminalb w22, w25, [sp] 38FD73A6 lduminalb w29, w6, [x29] 38E7707F lduminalb w7, wzr, [x3] // LDUMINALH_32_memop 01111000111xxxxx011100xxxxxxxxxx 78F37032 lduminalh w19, w18, [x1] 78FA7297 lduminalh w26, w23, [x20] 78EA70C2 lduminalh w10, w2, [x6] 78F373ED lduminalh w19, w13, [sp] 78E07247 lduminalh w0, w7, [x18] 78EA7341 lduminalh w10, w1, [x26] 78FA7365 lduminalh w26, w5, [x27] 78FC717B lduminalh w28, w27, [x11] 78F87376 lduminalh w24, w22, [x27] 78FB72E8 lduminalh w27, w8, [x23] 78E7701D lduminalh w7, w29, [x0] 78FE70FA lduminalh w30, w26, [x7] 78FB70BC lduminalh w27, w28, [x5] 78E0724E lduminalh w0, w14, [x18] 78EE7022 lduminalh w14, w2, [x1] 78E773F8 lduminalh w7, w24, [sp] // LDUMINAL_32_memop 10111000111xxxxx011100xxxxxxxxxx B8EE7009 lduminal w14, w9, [x0] B8EC72BA lduminal w12, w26, [x21] B8EB71D5 lduminal w11, w21, [x14] B8F770E9 lduminal w23, w9, [x7] B8EC733A lduminal w12, w26, [x25] B8E07005 lduminal w0, w5, [x0] B8F173CC lduminal w17, w12, [x30] B8FF70CB lduminal wzr, w11, [x6] B8F971B9 lduminal w25, w25, [x13] B8EE7000 lduminal w14, w0, [x0] B8F073AA lduminal w16, w10, [x29] B8E27151 lduminal w2, w17, [x10] B8FA7200 lduminal w26, w0, [x16] B8E07182 lduminal w0, w2, [x12] B8F37333 lduminal w19, w19, [x25] B8FB733F lduminal w27, wzr, [x25] // LDUMINAL_64_memop 11111000111xxxxx011100xxxxxxxxxx F8E9736D lduminal x9, x13, [x27] F8FD7379 lduminal x29, x25, [x27] F8F47341 lduminal x20, x1, [x26] F8F470C0 lduminal x20, x0, [x6] F8EB7268 lduminal x11, x8, [x19] F8F87106 lduminal x24, x6, [x8] F8E971F1 lduminal x9, x17, [x15] F8E9721A lduminal x9, x26, [x16] F8F57028 lduminal x21, x8, [x1] F8F672E0 lduminal x22, x0, [x23] F8F87184 lduminal x24, x4, [x12] F8E473A1 lduminal x4, x1, [x29] F8EE72DC lduminal x14, x28, [x22] F8ED738A lduminal x13, x10, [x28] F8E9737E lduminal x9, x30, [x27] F8F3726F lduminal x19, x15, [x19] // LDUMINA_32_memop 10111000101xxxxx011100xxxxxxxxxx B8BA717D ldumina w26, w29, [x11] B8B271E9 ldumina w18, w9, [x15] B8A972F2 ldumina w9, w18, [x23] B8BD7235 ldumina w29, w21, [x17] B8AE72BA ldumina w14, w26, [x21] B8B073CA ldumina w16, w10, [x30] B8B171C2 ldumina w17, w2, [x14] B8A3701A ldumina w3, w26, [x0] B8B571E6 ldumina w21, w6, [x15] B8AE700D ldumina w14, w13, [x0] B8A7704F ldumina w7, w15, [x2] B8AE7063 ldumina w14, w3, [x3] B8B77114 ldumina w23, w20, [x8] B8BA71DC ldumina w26, w28, [x14] B8B9728C ldumina w25, w12, [x20] B8A57032 ldumina w5, w18, [x1] // LDUMINA_64_memop 11111000101xxxxx011100xxxxxxxxxx F8BE735A ldumina x30, x26, [x26] F8A97387 ldumina x9, x7, [x28] F8AB73D8 ldumina x11, x24, [x30] F8A471D9 ldumina x4, x25, [x14] F8B270BF ldumina x18, xzr, [x5] F8AA7088 ldumina x10, x8, [x4] F8AA7117 ldumina x10, x23, [x8] F8BC7256 ldumina x28, x22, [x18] F8A9722B ldumina x9, x11, [x17] F8B27244 ldumina x18, x4, [x18] F8B6735E ldumina x22, x30, [x26] F8BD72F2 ldumina x29, x18, [x23] F8B572C9 ldumina x21, x9, [x22] F8BD73AF ldumina x29, x15, [x29] F8A6710F ldumina x6, x15, [x8] F8AC71C6 ldumina x12, x6, [x14] // LDUMINB_32_memop 00111000001xxxxx011100xxxxxxxxxx 3822727E lduminb w2, w30, [x19] 382772B0 lduminb w7, w16, [x21] 382B7111 lduminb w11, w17, [x8] 38367050 lduminb w22, w16, [x2] 38217365 lduminb w1, w5, [x27] 38317229 lduminb w17, w9, [x17] 38247054 lduminb w4, w20, [x2] 38277324 lduminb w7, w4, [x25] 383570C8 lduminb w21, w8, [x6] 3829710F lduminb w9, w15, [x8] 383771F5 lduminb w23, w21, [x15] 383973C5 lduminb w25, w5, [x30] 382C7356 lduminb w12, w22, [x26] 382471FA lduminb w4, w26, [x15] 382B7134 lduminb w11, w20, [x9] 38367093 lduminb w22, w19, [x4] // LDUMINH_32_memop 01111000001xxxxx011100xxxxxxxxxx 782B72DD lduminh w11, w29, [x22] 78357049 lduminh w21, w9, [x2] 782370E1 lduminh w3, w1, [x7] 783C72E8 lduminh w28, w8, [x23] 78217039 lduminh w1, w25, [x1] 7831734D lduminh w17, w13, [x26] 783A722A lduminh w26, w10, [x17] 78267017 lduminh w6, w23, [x0] 78207113 lduminh w0, w19, [x8] 782B7061 lduminh w11, w1, [x3] 782B7044 lduminh w11, w4, [x2] 782371EE lduminh w3, w14, [x15] 78297349 lduminh w9, w9, [x26] 782171B6 lduminh w1, w22, [x13] 783270CC lduminh w18, w12, [x6] 78257127 lduminh w5, w7, [x9] // LDUMINLB_32_memop 00111000011xxxxx011100xxxxxxxxxx 386D73F8 lduminlb w13, w24, [sp] 387E7314 lduminlb w30, w20, [x24] 3879708E lduminlb w25, w14, [x4] 387E72C4 lduminlb w30, w4, [x22] 387B70CF lduminlb w27, w15, [x6] 38787033 lduminlb w24, w19, [x1] 387D73B1 lduminlb w29, w17, [x29] 387371A0 lduminlb w19, w0, [x13] 3870736F lduminlb w16, w15, [x27] 3876714C lduminlb w22, w12, [x10] 386173B6 lduminlb w1, w22, [x29] 387A7319 lduminlb w26, w25, [x24] 3879706F lduminlb w25, w15, [x3] 387872C5 lduminlb w24, w5, [x22] 3874720D lduminlb w20, w13, [x16] 387872EB lduminlb w24, w11, [x23] // LDUMINLH_32_memop 01111000011xxxxx011100xxxxxxxxxx 786770B3 lduminlh w7, w19, [x5] 786C7170 lduminlh w12, w16, [x11] 786C7381 lduminlh w12, w1, [x28] 786D71A3 lduminlh w13, w3, [x13] 7875709B lduminlh w21, w27, [x4] 78797300 lduminlh w25, w0, [x24] 786D7077 lduminlh w13, w23, [x3] 787D72B6 lduminlh w29, w22, [x21] 786B70BD lduminlh w11, w29, [x5] 78637118 lduminlh w3, w24, [x8] 786F73EB lduminlh w15, w11, [sp] 7870715A lduminlh w16, w26, [x10] 786270D7 lduminlh w2, w23, [x6] 7876711A lduminlh w22, w26, [x8] 786D71F5 lduminlh w13, w21, [x15] 787D7347 lduminlh w29, w7, [x26] // LDUMINL_32_memop 10111000011xxxxx011100xxxxxxxxxx B87172D9 lduminl w17, w25, [x22] B8737243 lduminl w19, w3, [x18] B8687150 lduminl w8, w16, [x10] B8797254 lduminl w25, w20, [x18] B8627210 lduminl w2, w16, [x16] B86F7258 lduminl w15, w24, [x18] B8677290 lduminl w7, w16, [x20] B86E71DE lduminl w14, w30, [x14] B877728C lduminl w23, w12, [x20] B8787159 lduminl w24, w25, [x10] B86A7137 lduminl w10, w23, [x9] B86E730C lduminl w14, w12, [x24] B873721D lduminl w19, w29, [x16] B8777137 lduminl w23, w23, [x9] B866724D lduminl w6, w13, [x18] B86972F0 lduminl w9, w16, [x23] // LDUMINL_64_memop 11111000011xxxxx011100xxxxxxxxxx F86F72D0 lduminl x15, x16, [x22] F877723E lduminl x23, x30, [x17] F8657187 lduminl x5, x7, [x12] F877714D lduminl x23, x13, [x10] F8607248 lduminl x0, x8, [x18] F8657140 lduminl x5, x0, [x10] F86370CB lduminl x3, x11, [x6] F8797232 lduminl x25, x18, [x17] F8717025 lduminl x17, x5, [x1] F87B7292 lduminl x27, x18, [x20] F87F722E lduminl xzr, x14, [x17] F87E7073 lduminl x30, x19, [x3] F86271DA lduminl x2, x26, [x14] F8757398 lduminl x21, x24, [x28] F87870AC lduminl x24, x12, [x5] F8717328 lduminl x17, x8, [x25] // LDUMIN_32_memop 10111000001xxxxx011100xxxxxxxxxx B82C7213 ldumin w12, w19, [x16] B83273C6 ldumin w18, w6, [x30] B82D7322 ldumin w13, w2, [x25] B82171CF ldumin w1, w15, [x14] B83D733A ldumin w29, w26, [x25] B82B7000 ldumin w11, w0, [x0] B83172B7 ldumin w17, w23, [x21] B82E71B0 ldumin w14, w16, [x13] B8287045 ldumin w8, w5, [x2] B8247133 ldumin w4, w19, [x9] B83F702A ldumin wzr, w10, [x1] B834731B ldumin w20, w27, [x24] B836735E ldumin w22, w30, [x26] B82F72BD ldumin w15, w29, [x21] B83B72EA ldumin w27, w10, [x23] B83271C1 ldumin w18, w1, [x14] // LDUMIN_64_memop 11111000001xxxxx011100xxxxxxxxxx F830732B ldumin x16, x11, [x25] F8307361 ldumin x16, x1, [x27] F82D7139 ldumin x13, x25, [x9] F82272A1 ldumin x2, x1, [x21] F8377075 ldumin x23, x21, [x3] F822738D ldumin x2, x13, [x28] F8317101 ldumin x17, x1, [x8] F83673D6 ldumin x22, x22, [x30] F82B7046 ldumin x11, x6, [x2] F82A70EB ldumin x10, x11, [x7] F8217271 ldumin x1, x17, [x19] F82F7137 ldumin x15, x23, [x9] F8317176 ldumin x17, x22, [x11] F8397275 ldumin x25, x21, [x19] F82E7103 ldumin x14, x3, [x8] F82072C0 ldumin x0, x0, [x22] // LDURB_32_ldst_unscaled 00111000010xxxxxxxxx00xxxxxxxxxx 385E705C ldurb w28, [x2, #-0x19] 384AE1D8 ldurb w24, [x14, #0xae] 38506161 ldurb w1, [x11, #-0xfa] 3857E301 ldurb w1, [x24, #-0x82] 385710A5 ldurb w5, [x5, #-0x8f] 384D9244 ldurb w4, [x18, #0xd9] 384F62B2 ldurb w18, [x21, #0xf6] 385BC19A ldurb w26, [x12, #-0x44] 3842F2C7 ldurb w7, [x22, #0x2f] 385442FA ldurb w26, [x23, #-0xbc] 3847611D ldurb w29, [x8, #0x76] 384F0239 ldurb w25, [x17, #0xf0] 385562F3 ldurb w19, [x23, #-0xaa] 385D908A ldurb w10, [x4, #-0x27] 384B221C ldurb w28, [x16, #0xb2] 3851A3D0 ldurb w16, [x30, #-0xe6] // LDURH_32_ldst_unscaled 01111000010xxxxxxxxx00xxxxxxxxxx 785681BF ldurh wzr, [x13, #-0x98] 784F414A ldurh w10, [x10, #0xf4] 78437185 ldurh w5, [x12, #0x37] 7853B21C ldurh w28, [x16, #-0xc5] 784A50F5 ldurh w21, [x7, #0xa5] 78430359 ldurh w25, [x26, #0x30] 78590373 ldurh w19, [x27, #-0x70] 7849F18C ldurh w12, [x12, #0x9f] 7849E37D ldurh w29, [x27, #0x9e] 784D91F0 ldurh w16, [x15, #0xd9] 78497202 ldurh w2, [x16, #0x97] 784B6382 ldurh w2, [x28, #0xb6] 784F921E ldurh w30, [x16, #0xf9] 7841D35F ldurh wzr, [x26, #0x1d] 785831EC ldurh w12, [x15, #-0x7d] 78585023 ldurh w3, [x1, #-0x7b] // LDURSB_32_ldst_unscaled 00111000110xxxxxxxxx00xxxxxxxxxx 38DA8123 ldursb w3, [x9, #-0x58] 38C6737F ldursb wzr, [x27, #0x67] 38D52216 ldursb w22, [x16, #-0xae] 38DE313E ldursb w30, [x9, #-0x1d] 38D361C3 ldursb w3, [x14, #-0xca] 38C6A03F ldursb wzr, [x1, #0x6a] 38D1A269 ldursb w9, [x19, #-0xe6] 38DC528C ldursb w12, [x20, #-0x3b] 38D92373 ldursb w19, [x27, #-0x6e] 38DBE26B ldursb w11, [x19, #-0x42] 38DB4123 ldursb w3, [x9, #-0x4c] 38CE0024 ldursb w4, [x1, #0xe0] 38C8F030 ldursb w16, [x1, #0x8f] 38DD73EE ldursb w14, [sp, #-0x29] 38C6F019 ldursb w25, [x0, #0x6f] 38C8D308 ldursb w8, [x24, #0x8d] // LDURSB_64_ldst_unscaled 00111000100xxxxxxxxx00xxxxxxxxxx 3882A1A5 ldursb x5, [x13, #0x2a] 389AA308 ldursb x8, [x24, #-0x56] 388BE15B ldursb x27, [x10, #0xbe] 3887736B ldursb x11, [x27, #0x77] 3890304F ldursb x15, [x2, #-0xfd] 3886104C ldursb x12, [x2, #0x61] 389420B0 ldursb x16, [x5, #-0xbe] 3890A21B ldursb x27, [x16, #-0xf6] 388892CC ldursb x12, [x22, #0x89] 3894C040 ldursb x0, [x2, #-0xb4] 3882D2C0 ldursb x0, [x22, #0x2d] 389EE14F ldursb x15, [x10, #-0x12] 3886A10B ldursb x11, [x8, #0x6a] 389C60F4 ldursb x20, [x7, #-0x3a] 389802D1 ldursb x17, [x22, #-0x80] 389830A7 ldursb x7, [x5, #-0x7d] // LDURSH_32_ldst_unscaled 01111000110xxxxxxxxx00xxxxxxxxxx 78C850FA ldursh w26, [x7, #0x85] 78C93262 ldursh w2, [x19, #0x93] 78CBB2BA ldursh w26, [x21, #0xbb] 78CBB243 ldursh w3, [x18, #0xbb] 78C4B277 ldursh w23, [x19, #0x4b] 78DAD32E ldursh w14, [x25, #-0x53] 78C4B0EF ldursh w15, [x7, #0x4b] 78C27019 ldursh w25, [x0, #0x27] 78C3E1AE ldursh w14, [x13, #0x3e] 78CA610F ldursh w15, [x8, #0xa6] 78CB6139 ldursh w25, [x9, #0xb6] 78DDB18B ldursh w11, [x12, #-0x25] 78C923A7 ldursh w7, [x29, #0x92] 78D472D5 ldursh w21, [x22, #-0xb9] 78C403DA ldursh w26, [x30, #0x40] 78DDA3E6 ldursh w6, [sp, #-0x26] // LDURSH_64_ldst_unscaled 01111000100xxxxxxxxx00xxxxxxxxxx 78873226 ldursh x6, [x17, #0x73] 7884939F ldursh xzr, [x28, #0x49] 7888F39A ldursh x26, [x28, #0x8f] 7880C3B4 ldursh x20, [x29, #0xc] 78887308 ldursh x8, [x24, #0x87] 789C83FD ldursh x29, [sp, #-0x38] 789373BC ldursh x28, [x29, #-0xc9] 7896D226 ldursh x6, [x17, #-0x93] 788CD0F6 ldursh x22, [x7, #0xcd] 7884D282 ldursh x2, [x20, #0x4d] 789D405B ldursh x27, [x2, #-0x2c] 788D501B ldursh x27, [x0, #0xd5] 78847057 ldursh x23, [x2, #0x47] 789C8197 ldursh x23, [x12, #-0x38] 788F1344 ldursh x4, [x26, #0xf1] 78985184 ldursh x4, [x12, #-0x7b] // LDURSW_64_ldst_unscaled 10111000100xxxxxxxxx00xxxxxxxxxx B891F2AC ldursw x12, [x21, #-0xe1] B89120BC ldursw x28, [x5, #-0xee] B8845170 ldursw x16, [x11, #0x45] B897B313 ldursw x19, [x24, #-0x85] B8817087 ldursw x7, [x4, #0x17] B894C34B ldursw x11, [x26, #-0xb4] B89C91C3 ldursw x3, [x14, #-0x37] B884D3E3 ldursw x3, [sp, #0x4d] B890E001 ldursw x1, [x0, #-0xf2] B89403AB ldursw x11, [x29, #-0xc0] B88C82F6 ldursw x22, [x23, #0xc8] B885803D ldursw x29, [x1, #0x58] B8831180 ldursw x0, [x12, #0x31] B89522B1 ldursw x17, [x21, #-0xae] B88EB0DA ldursw x26, [x6, #0xeb] B8995291 ldursw x17, [x20, #-0x6b] // LDUR_32_ldst_unscaled 10111000010xxxxxxxxx00xxxxxxxxxx B85FD192 ldur w18, [x12, #-0x3] B842B275 ldur w21, [x19, #0x2b] B85F93B1 ldur w17, [x29, #-0x7] B8531003 ldur w3, [x0, #-0xcf] B857B3BA ldur w26, [x29, #-0x85] B85D80BC ldur w28, [x5, #-0x28] B85BC17D ldur w29, [x11, #-0x44] B84B407B ldur w27, [x3, #0xb4] B847B1FF ldur wzr, [x15, #0x7b] B84D73E3 ldur w3, [sp, #0xd7] B8563030 ldur w16, [x1, #-0x9d] B84ED2B3 ldur w19, [x21, #0xed] B843A303 ldur w3, [x24, #0x3a] B855E323 ldur w3, [x25, #-0xa2] B84E62EA ldur w10, [x23, #0xe6] B850E0C7 ldur w7, [x6, #-0xf2] // LDUR_64_ldst_unscaled 11111000010xxxxxxxxx00xxxxxxxxxx F843F3F3 ldur x19, [sp, #0x3f] F84100C4 ldur x4, [x6, #0x10] F84BA0D6 ldur x22, [x6, #0xba] F85D3369 ldur x9, [x27, #-0x2d] F847A23B ldur x27, [x17, #0x7a] F845134B ldur x11, [x26, #0x51] F85C91F6 ldur x22, [x15, #-0x37] F853708B ldur x11, [x4, #-0xc9] F850F0A4 ldur x4, [x5, #-0xf1] F84ED100 ldur x0, [x8, #0xed] F85A30EB ldur x11, [x7, #-0x5d] F85942F3 ldur x19, [x23, #-0x6c] F85951AA ldur x10, [x13, #-0x6b] F85A9157 ldur x23, [x10, #-0x57] F85E9324 ldur x4, [x25, #-0x17] F85752BC ldur x28, [x21, #-0x8b] // LDUR_B_ldst_unscaled 00111100010xxxxxxxxx00xxxxxxxxxx 3C5E13F8 ldur b24, [sp, #-0x1f] 3C5F5185 ldur b5, [x12, #-0xb] 3C5261F1 ldur b17, [x15, #-0xda] 3C51F10D ldur b13, [x8, #-0xe1] 3C5193E9 ldur b9, [sp, #-0xe7] 3C5D81FE ldur b30, [x15, #-0x28] 3C4A82F2 ldur b18, [x23, #0xa8] 3C43A34F ldur b15, [x26, #0x3a] 3C51B229 ldur b9, [x17, #-0xe5] 3C470255 ldur b21, [x18, #0x70] 3C420339 ldur b25, [x25, #0x20] 3C58002C ldur b12, [x1, #-0x80] 3C5711A3 ldur b3, [x13, #-0x8f] 3C4271B1 ldur b17, [x13, #0x27] 3C454118 ldur b24, [x8, #0x54] 3C58116E ldur b14, [x11, #-0x7f] // LDUR_D_ldst_unscaled 11111100010xxxxxxxxx00xxxxxxxxxx FC4AD054 ldur d20, [x2, #0xad] FC50B322 ldur d2, [x25, #-0xf5] FC5513D8 ldur d24, [x30, #-0xaf] FC41335D ldur d29, [x26, #0x13] FC427167 ldur d7, [x11, #0x27] FC5491E6 ldur d6, [x15, #-0xb7] FC59A13E ldur d30, [x9, #-0x66] FC5F934B ldur d11, [x26, #-0x7] FC4F70B1 ldur d17, [x5, #0xf7] FC40E278 ldur d24, [x19, #0xe] FC45004D ldur d13, [x2, #0x50] FC58C0B7 ldur d23, [x5, #-0x74] FC4FB24B ldur d11, [x18, #0xfb] FC4CE299 ldur d25, [x20, #0xce] FC5653EE ldur d14, [sp, #-0x9b] FC5D82BF ldur d31, [x21, #-0x28] // LDUR_H_ldst_unscaled 01111100010xxxxxxxxx00xxxxxxxxxx 7C50114E ldur h14, [x10, #-0xff] 7C5D0007 ldur h7, [x0, #-0x30] 7C43534A ldur h10, [x26, #0x35] 7C5D8236 ldur h22, [x17, #-0x28] 7C428131 ldur h17, [x9, #0x28] 7C48E0F8 ldur h24, [x7, #0x8e] 7C57819B ldur h27, [x12, #-0x88] 7C5521C9 ldur h9, [x14, #-0xae] 7C4CA122 ldur h2, [x9, #0xca] 7C5C9297 ldur h23, [x20, #-0x37] 7C501367 ldur h7, [x27, #-0xff] 7C48C238 ldur h24, [x17, #0x8c] 7C5CE15F ldur h31, [x10, #-0x32] 7C4D81D9 ldur h25, [x14, #0xd8] 7C42D265 ldur h5, [x19, #0x2d] 7C5B019B ldur h27, [x12, #-0x50] // LDUR_Q_ldst_unscaled 00111100110xxxxxxxxx00xxxxxxxxxx 3CCB10E9 ldur q9, [x7, #0xb1] 3CCF6133 ldur q19, [x9, #0xf6] 3CDA333A ldur q26, [x25, #-0x5d] 3CC1C143 ldur q3, [x10, #0x1c] 3CC8E2DB ldur q27, [x22, #0x8e] 3CC4902A ldur q10, [x1, #0x49] 3CDFF3A6 ldur q6, [x29, #-0x1] 3CCC90CF ldur q15, [x6, #0xc9] 3CC2516F ldur q15, [x11, #0x25] 3CDED15B ldur q27, [x10, #-0x13] 3CCA80C7 ldur q7, [x6, #0xa8] 3CD0D3A3 ldur q3, [x29, #-0xf3] 3CD3312E ldur q14, [x9, #-0xcd] 3CDD9181 ldur q1, [x12, #-0x27] 3CCAC199 ldur q25, [x12, #0xac] 3CCA2035 ldur q21, [x1, #0xa2] // LDUR_S_ldst_unscaled 10111100010xxxxxxxxx00xxxxxxxxxx BC4F317D ldur s29, [x11, #0xf3] BC4892CB ldur s11, [x22, #0x89] BC564210 ldur s16, [x16, #-0x9c] BC5251E3 ldur s3, [x15, #-0xdb] BC41733D ldur s29, [x25, #0x17] BC5F31F0 ldur s16, [x15, #-0xd] BC5DF0C1 ldur s1, [x6, #-0x21] BC5B639D ldur s29, [x28, #-0x4a] BC5813C4 ldur s4, [x30, #-0x7f] BC44F318 ldur s24, [x24, #0x4f] BC4DA0A4 ldur s4, [x5, #0xda] BC49708E ldur s14, [x4, #0x97] BC4B1317 ldur s23, [x24, #0xb1] BC40F175 ldur s21, [x11, #0xf] BC5721E1 ldur s1, [x15, #-0x8e] BC5B506A ldur s10, [x3, #-0x4b] // LDXP_LP32_ldstexcl 10001000011xxxxx0xxxxxxxxxxxxxxx 88740E65 ldxp w5, w3, [x19] 8862234F ldxp w15, w8, [x26] 887B6C4B ldxp w11, w27, [x2] 88773ACD ldxp w13, w14, [x22] 88796985 ldxp w5, w26, [x12] 88756329 ldxp w9, w24, [x25] 88701798 ldxp w24, w5, [x28] 88771991 ldxp w17, w6, [x12] 88640E85 ldxp w5, w3, [x20] 887E48E1 ldxp w1, w18, [x7] 887E6D53 ldxp w19, w27, [x10] 886B2F78 ldxp w24, w11, [x27] 88776D67 ldxp w7, w27, [x11] 887472E0 ldxp w0, w28, [x23] 886E1D23 ldxp w3, w7, [x9] 88703E56 ldxp w22, w15, [x18] // LDXP_LP64_ldstexcl 11001000011xxxxx0xxxxxxxxxxxxxxx C8677530 ldxp x16, x29, [x9] C87B2750 ldxp x16, x9, [x26] C86B5C79 ldxp x25, x23, [x3] C8752DB7 ldxp x23, x11, [x13] C8620B17 ldxp x23, x2, [x24] C86B32D3 ldxp x19, x12, [x22] C87B69CE ldxp x14, x26, [x14] C86132C9 ldxp x9, x12, [x22] C86D3ADA ldxp x26, x14, [x22] C8797A2A ldxp x10, x30, [x17] C8767A5A ldxp x26, x30, [x18] C8621F11 ldxp x17, x7, [x24] C8654ED7 ldxp x23, x19, [x22] C8737BBE ldxp x30, x30, [x29] C872474C ldxp x12, x17, [x26] C877374F ldxp x15, x13, [x26] // LDXRB_LR32_ldstexcl 00001000010xxxxx0xxxxxxxxxxxxxxx 08536D30 ldxrb w16, [x9] 084553AF ldxrb w15, [x29] 08524751 ldxrb w17, [x26] 085F356A ldxrb w10, [x11] 084676DE ldxrb w30, [x22] 08515F8C ldxrb w12, [x28] 0854424D ldxrb w13, [x18] 08472114 ldxrb w20, [x8] 08490A23 ldxrb w3, [x17] 08441F9B ldxrb w27, [x28] 08552977 ldxrb w23, [x11] 08493028 ldxrb w8, [x1] 084F6292 ldxrb w18, [x20] 085616B6 ldxrb w22, [x21] 08472B00 ldxrb w0, [x24] 084F5870 ldxrb w16, [x3] // LDXRH_LR32_ldstexcl 01001000010xxxxx0xxxxxxxxxxxxxxx 48507AF3 ldxrh w19, [x23] 48483C65 ldxrh w5, [x3] 48483A4C ldxrh w12, [x18] 484F7483 ldxrh w3, [x4] 48433D47 ldxrh w7, [x10] 48533785 ldxrh w5, [x28] 484C347E ldxrh w30, [x3] 485D4A41 ldxrh w1, [x18] 48431E6E ldxrh w14, [x19] 484C6E84 ldxrh w4, [x20] 484410A8 ldxrh w8, [x5] 484926F6 ldxrh w22, [x23] 485B353D ldxrh w29, [x9] 485F7C14 ldxrh w20, [x0] 484E66FB ldxrh w27, [x23] 484A1D83 ldxrh w3, [x12] // LDXR_LR32_ldstexcl 10001000010xxxxx0xxxxxxxxxxxxxxx 88534BE7 ldxr w7, [sp] 88455668 ldxr w8, [x19] 88556D3B ldxr w27, [x9] 88491AFE ldxr w30, [x23] 884B4D3F ldxr wzr, [x9] 885E09CE ldxr w14, [x14] 884C4044 ldxr w4, [x2] 88485AF7 ldxr w23, [x23] 884C56BC ldxr w28, [x21] 885845A7 ldxr w7, [x13] 88565B23 ldxr w3, [x25] 884C4304 ldxr w4, [x24] 88437FF2 ldxr w18, [sp] 88495364 ldxr w4, [x27] 88496226 ldxr w6, [x17] 884A7887 ldxr w7, [x4] // LDXR_LR64_ldstexcl 11001000010xxxxx0xxxxxxxxxxxxxxx C8572629 ldxr x9, [x17] C858098E ldxr x14, [x12] C8573E9E ldxr x30, [x20] C84E18B2 ldxr x18, [x5] C8422F95 ldxr x21, [x28] C8533EDB ldxr x27, [x22] C85A5F8E ldxr x14, [x28] C8445345 ldxr x5, [x26] C85250B4 ldxr x20, [x5] C8514FC7 ldxr x7, [x30] C85D4732 ldxr x18, [x25] C84A65E8 ldxr x8, [x15] C8491B97 ldxr x23, [x28] C8580CE4 ldxr x4, [x7] C856116C ldxr x12, [x11] C84D4212 ldxr x18, [x16] // LSL_LSLV_32_dp_2src 00011010110xxxxx001000xxxxxxxxxx 1AD72177 lsl w23, w11, w23 1ACD2129 lsl w9, w9, w13 1ACB2385 lsl w5, w28, w11 1ACE23F6 lsl w22, wzr, w14 1ADA2249 lsl w9, w18, w26 1AC92229 lsl w9, w17, w9 1AC6234C lsl w12, w26, w6 1AD620D6 lsl w22, w6, w22 1ACC2238 lsl w24, w17, w12 1ADF234D lsl w13, w26, wzr 1AC62373 lsl w19, w27, w6 1AD520F9 lsl w25, w7, w21 1AC2213A lsl w26, w9, w2 1ACA2380 lsl w0, w28, w10 1AD1203A lsl w26, w1, w17 1AD82142 lsl w2, w10, w24 // LSL_LSLV_64_dp_2src 10011010110xxxxx001000xxxxxxxxxx 9ACB2231 lsl x17, x17, x11 9AD42243 lsl x3, x18, x20 9AD820E7 lsl x7, x7, x24 9AD62384 lsl x4, x28, x22 9ADC2183 lsl x3, x12, x28 9AC821D8 lsl x24, x14, x8 9AD023A9 lsl x9, x29, x16 9ADA20EC lsl x12, x7, x26 9AD1206E lsl x14, x3, x17 9AD62301 lsl x1, x24, x22 9ADF20CF lsl x15, x6, xzr 9AD2234E lsl x14, x26, x18 9AC42179 lsl x25, x11, x4 9AC62173 lsl x19, x11, x6 9ACC23AB lsl x11, x29, x12 9AC92174 lsl x20, x11, x9 // LSL_UBFM_32M_bitfield 0101001100xxxxxxxxxxxxxxxxxxxxxx 530B2801 lsl w1, w0, #0x15 53134AF7 lsl w23, w23, #0xd 531C6FB4 lsl w20, w29, #0x4 531C6C42 lsl w2, w2, #0x4 53196347 lsl w7, w26, #0x7 5319622C lsl w12, w17, #0x7 530B2B11 lsl w17, w24, #0x15 531657CB lsl w11, w30, #0xa 531245A4 lsl w4, w13, #0xe 530922C8 lsl w8, w22, #0x17 531D7333 lsl w19, w25, #0x3 53103E04 lsl w4, w16, #0x10 53081C3C lsl w28, w1, #0x18 530204DD lsl w29, w6, #0x1e 530206DF lsl wzr, w22, #0x1e 530F397D lsl w29, w11, #0x11 // LSL_UBFM_64M_bitfield 1101001101xxxxxxxxxxxxxxxxxxxxxx D36EB5F3 lsl x19, x15, #0x12 D35D7026 lsl x6, x1, #0x23 D3440F59 lsl x25, x26, #0x3c D35A6795 lsl x21, x28, #0x26 D3648FB3 lsl x19, x29, #0x1c D3492184 lsl x4, x12, #0x37 D372C474 lsl x20, x3, #0xe D377D873 lsl x19, x3, #0x9 D36DB1C3 lsl x3, x14, #0x13 D37AE4B1 lsl x17, x5, #0x6 D34F3A27 lsl x7, x17, #0x31 D37BE8A5 lsl x5, x5, #0x5 D37DF276 lsl x22, x19, #0x3 D3638846 lsl x6, x2, #0x1d D35E74C7 lsl x7, x6, #0x22 D3492013 lsl x19, x0, #0x37 // LSR_LSRV_32_dp_2src 00011010110xxxxx001001xxxxxxxxxx 1AD22518 lsr w24, w8, w18 1AC02713 lsr w19, w24, w0 1ACE27E3 lsr w3, wzr, w14 1AC0255C lsr w28, w10, w0 1ADD256F lsr w15, w11, w29 1AC62596 lsr w22, w12, w6 1AC52538 lsr w24, w9, w5 1AD926B4 lsr w20, w21, w25 1AC32766 lsr w6, w27, w3 1ACA244F lsr w15, w2, w10 1ACD2713 lsr w19, w24, w13 1AD626D2 lsr w18, w22, w22 1ACA2776 lsr w22, w27, w10 1AC82611 lsr w17, w16, w8 1ADF2450 lsr w16, w2, wzr 1AD4274E lsr w14, w26, w20 // LSR_LSRV_64_dp_2src 10011010110xxxxx001001xxxxxxxxxx 9ACE2509 lsr x9, x8, x14 9AC02700 lsr x0, x24, x0 9AD2259B lsr x27, x12, x18 9ADD27F5 lsr x21, xzr, x29 9AC225D1 lsr x17, x14, x2 9AD3243B lsr x27, x1, x19 9ACC2559 lsr x25, x10, x12 9AC0275E lsr x30, x26, x0 9ACC2712 lsr x18, x24, x12 9ADF25C7 lsr x7, x14, xzr 9AC026E4 lsr x4, x23, x0 9AD22771 lsr x17, x27, x18 9AC82454 lsr x20, x2, x8 9AD0277E lsr x30, x27, x16 9ADA2620 lsr x0, x17, x26 9ACF276C lsr x12, x27, x15 // MADD_32A_dp_3src 00011011000xxxxx0xxxxxxxxxxxxxxx 1B1551C6 madd w6, w14, w21, w20 1B003EAB madd w11, w21, w0, w15 1B1F4A64 madd w4, w19, wzr, w18 1B01763B madd w27, w17, w1, w29 1B04659F madd wzr, w12, w4, w25 1B1002F3 madd w19, w23, w16, w0 1B001B02 madd w2, w24, w0, w6 1B044779 madd w25, w27, w4, w17 1B024C54 madd w20, w2, w2, w19 1B1250A0 madd w0, w5, w18, w20 1B031E36 madd w22, w17, w3, w7 1B101D0B madd w11, w8, w16, w7 1B1E4CA2 madd w2, w5, w30, w19 1B096EE4 madd w4, w23, w9, w27 1B047321 madd w1, w25, w4, w28 1B187AFE madd w30, w23, w24, w30 // MADD_64A_dp_3src 10011011000xxxxx0xxxxxxxxxxxxxxx 9B0D31FC madd x28, x15, x13, x12 9B112393 madd x19, x28, x17, x8 9B022D3F madd xzr, x9, x2, x11 9B15698F madd x15, x12, x21, x26 9B0E1F00 madd x0, x24, x14, x7 9B001C53 madd x19, x2, x0, x7 9B1D2052 madd x18, x2, x29, x8 9B184C17 madd x23, x0, x24, x19 9B1C5E6A madd x10, x19, x28, x23 9B0352C9 madd x9, x22, x3, x20 9B15308D madd x13, x4, x21, x12 9B1E3F31 madd x17, x25, x30, x15 9B055B43 madd x3, x26, x5, x22 9B0A5BD2 madd x18, x30, x10, x22 9B093DDA madd x26, x14, x9, x15 9B040F6C madd x12, x27, x4, x3 // MLA_asimdelem_R 0x101111xxxxxxxx0000xxxxxxxxxxxx 6F66089B mla v27.8h, v4.8h, v6.h[6] 6F9E0889 mla v9.4s, v4.4s, v30.s[2] 6F880ABA mla v26.4s, v21.4s, v8.s[2] 6F5F0137 mla v23.8h, v9.8h, v15.h[1] 6FBB00BD mla v29.4s, v5.4s, v27.s[1] 2FAC029A mla v26.2s, v20.2s, v12.s[1] 6F670268 mla v8.8h, v19.8h, v7.h[2] 6F54035C mla v28.8h, v26.8h, v4.h[1] 2FBB039B mla v27.2s, v28.2s, v27.s[1] 2F65086A mla v10.4h, v3.4h, v5.h[6] 2FBC006B mla v11.2s, v3.2s, v28.s[1] 6FA3007B mla v27.4s, v3.4s, v3.s[1] 6F4800C8 mla v8.8h, v6.8h, v8.h[0] 2F800AAB mla v11.2s, v21.2s, v0.s[2] 6F640183 mla v3.8h, v12.8h, v4.h[2] 2F5E08ED mla v13.4h, v7.4h, v14.h[5] // MLA_asimdsame_only 0x001110xx1xxxxx1001xxxxxxxxxxxx 4E229440 mla v0.16b, v2.16b, v2.16b 0EAE97AF mla v15.2s, v29.2s, v14.2s 0E21971C mla v28.8b, v24.8b, v1.8b 0EBC97C8 mla v8.2s, v30.2s, v28.2s 0E3A94B7 mla v23.8b, v5.8b, v26.8b 0E2F9792 mla v18.8b, v28.8b, v15.8b 4EBD96B7 mla v23.4s, v21.4s, v29.4s 4E69955D mla v29.8h, v10.8h, v9.8h 0EA596C3 mla v3.2s, v22.2s, v5.2s 0E359644 mla v4.8b, v18.8b, v21.8b 0E3B9690 mla v16.8b, v20.8b, v27.8b 0E759537 mla v23.4h, v9.4h, v21.4h 4E3795DE mla v30.16b, v14.16b, v23.16b 4E3994EE mla v14.16b, v7.16b, v25.16b 4EAA9788 mla v8.4s, v28.4s, v10.4s 0E709796 mla v22.4h, v28.4h, v16.4h // MLS_asimdelem_R 0x101111xxxxxxxx0100xxxxxxxxxxxx 6F5640DF mls v31.8h, v6.8h, v6.h[1] 6F424957 mls v23.8h, v10.8h, v2.h[4] 6F5B4319 mls v25.8h, v24.8h, v11.h[1] 2FAF4BC8 mls v8.2s, v30.2s, v15.s[3] 6F924A85 mls v5.4s, v20.4s, v18.s[2] 6F9C4121 mls v1.4s, v9.4s, v28.s[0] 6F744269 mls v9.8h, v19.8h, v4.h[3] 6FB143C9 mls v9.4s, v30.4s, v17.s[1] 6F744BCF mls v15.8h, v30.8h, v4.h[7] 2F944BF5 mls v21.2s, v31.2s, v20.s[2] 6F714BDD mls v29.8h, v30.8h, v1.h[7] 2F6A4193 mls v19.4h, v12.4h, v10.h[2] 6F6E4A4A mls v10.8h, v18.8h, v14.h[6] 2F7E48D0 mls v16.4h, v6.4h, v14.h[7] 2F4F4340 mls v0.4h, v26.4h, v15.h[0] 6F644945 mls v5.8h, v10.8h, v4.h[6] // MLS_asimdsame_only 0x101110xx1xxxxx1001xxxxxxxxxxxx 6E6C977E mls v30.8h, v27.8h, v12.8h 6E7D96D3 mls v19.8h, v22.8h, v29.8h 6E6094E0 mls v0.8h, v7.8h, v0.8h 6EB195CA mls v10.4s, v14.4s, v17.4s 2EB99741 mls v1.2s, v26.2s, v25.2s 6E3596A4 mls v4.16b, v21.16b, v21.16b 2EB89460 mls v0.2s, v3.2s, v24.2s 2E7E96C4 mls v4.4h, v22.4h, v30.4h 2E7A954D mls v13.4h, v10.4h, v26.4h 6E32967F mls v31.16b, v19.16b, v18.16b 2E3697E8 mls v8.8b, v31.8b, v22.8b 2EA99580 mls v0.2s, v12.2s, v9.2s 6EB39403 mls v3.4s, v0.4s, v19.4s 2E769741 mls v1.4h, v26.4h, v22.4h 2E289668 mls v8.8b, v19.8b, v8.8b 2E2B95A4 mls v4.8b, v13.8b, v11.8b // MNEG_MSUB_32A_dp_3src 00011011000xxxxx111111xxxxxxxxxx 1B0CFC7C mneg w28, w3, w12 1B16FE75 mneg w21, w19, w22 1B1BFE65 mneg w5, w19, w27 1B1EFC29 mneg w9, w1, w30 1B09FF09 mneg w9, w24, w9 1B1FFF8D mneg w13, w28, wzr 1B1DFF88 mneg w8, w28, w29 1B02FEB2 mneg w18, w21, w2 1B11FD32 mneg w18, w9, w17 1B16FFE8 mneg w8, wzr, w22 1B02FDB6 mneg w22, w13, w2 1B1FFC2C mneg w12, w1, wzr 1B18FF46 mneg w6, w26, w24 1B0BFC36 mneg w22, w1, w11 1B19FC5A mneg w26, w2, w25 1B15FEA8 mneg w8, w21, w21 // MNEG_MSUB_64A_dp_3src 10011011000xxxxx111111xxxxxxxxxx 9B1FFE1C mneg x28, x16, xzr 9B1AFC31 mneg x17, x1, x26 9B01FE5A mneg x26, x18, x1 9B1FFC5A mneg x26, x2, xzr 9B1EFE63 mneg x3, x19, x30 9B1AFFE9 mneg x9, xzr, x26 9B08FFF3 mneg x19, xzr, x8 9B1EFC70 mneg x16, x3, x30 9B1DFDFE mneg x30, x15, x29 9B1AFCBE mneg x30, x5, x26 9B1EFDA7 mneg x7, x13, x30 9B02FF36 mneg x22, x25, x2 9B0FFD31 mneg x17, x9, x15 9B06FD50 mneg x16, x10, x6 9B1CFF9F mneg xzr, x28, x28 9B0CFF1C mneg x28, x24, x12 // MOVI_asimdimm_D2_d 0110111100000xxx1110xxxxxxxxxxxx 6F03E664 movi v4.2d, #0xffffff0000ffff 6F03E6F0 movi v16.2d, #0xffffff00ffffff 6F04E537 movi v23.2d, #0xff000000ff0000ff 6F00E7F6 movi v22.2d, #0xffffffffff 6F07E730 movi v16.2d, #0xffffffffff0000ff 6F03E6EC movi v12.2d, #0xffffff00ffffff 6F02E41A movi v26.2d, #0xff000000000000 6F03E46D movi v13.2d, #0xffff000000ffff 6F00E6D7 movi v23.2d, #0xff00ffff00 6F06E5C9 movi v9.2d, #0xffff0000ffffff00 6F03E577 movi v23.2d, #0xffff00ff00ffff 6F06E6F0 movi v16.2d, #0xffff00ff00ffffff 6F04E712 movi v18.2d, #0xff0000ffff000000 6F02E4E0 movi v0.2d, #0xff000000ffffff 6F06E6BB movi v27.2d, #0xffff00ff00ff00ff 6F01E67E movi v30.2d, #0xffff0000ffff // MOVI_asimdimm_D_ds 0010111100000xxx1110xxxxxxxxxxxx 2F05E64B movi d11, #0xff00ffff0000ff00 2F07E799 movi d25, #0xffffffffffff0000 2F03E70F movi d15, #0xffffffff000000 2F07E66F movi d15, #0xffffffff0000ffff 2F04E48D movi d13, #0xff00000000ff0000 2F03E646 movi d6, #0xffffff0000ff00 2F04E646 movi d6, #0xff0000ff0000ff00 2F07E6A5 movi d5, #0xffffffff00ff00ff 2F03E539 movi d25, #0xffff00ff0000ff 2F02E4B1 movi d17, #0xff000000ff00ff 2F02E535 movi d21, #0xff0000ff0000ff 2F03E7C1 movi d1, #0xffffffffffff00 2F07E5D6 movi d22, #0xffffff00ffffff00 2F02E5BA movi d26, #0xff0000ffff00ff 2F03E723 movi d3, #0xffffffff0000ff 2F02E7D6 movi d22, #0xff00ffffffff00 // MOVI_asimdimm_L_hl 0x00111100000xxx10x0xxxxxxxxxxxx 4F06A526 movi v6.8h, #0xc9, lsl #0x8 0F028535 movi v21.4h, #0x49 0F07A54F movi v15.4h, #0xea, lsl #0x8 0F04A783 movi v3.4h, #0x9c, lsl #0x8 0F008610 movi v16.4h, #0x10 4F008494 movi v20.8h, #0x4 0F05A6DF movi v31.4h, #0xb6, lsl #0x8 0F058548 movi v8.4h, #0xaa 0F04A5E5 movi v5.4h, #0x8f, lsl #0x8 0F04A444 movi v4.4h, #0x82, lsl #0x8 4F07A642 movi v2.8h, #0xf2, lsl #0x8 4F01A6BB movi v27.8h, #0x35, lsl #0x8 4F0184F4 movi v20.8h, #0x27 4F068764 movi v4.8h, #0xdb 0F07849B movi v27.4h, #0xe4 4F06A4FD movi v29.8h, #0xc7, lsl #0x8 // MOVI_asimdimm_L_sl 0x00111100000xxx0xx0xxxxxxxxxxxx 4F02249E movi v30.4s, #0x44, lsl #0x8 0F0104C1 movi v1.2s, #0x26 4F0064D7 movi v23.4s, #0x6, lsl #0x18 4F07467A movi v26.4s, #0xf3, lsl #0x10 4F0105BD movi v29.4s, #0x2d 0F0406AD movi v13.2s, #0x95 0F0766CC movi v12.2s, #0xf6, lsl #0x18 0F06457E movi v30.2s, #0xcb, lsl #0x10 0F002699 movi v25.2s, #0x14, lsl #0x8 4F040535 movi v21.4s, #0x89 0F052530 movi v16.2s, #0xa9, lsl #0x8 0F022543 movi v3.2s, #0x4a, lsl #0x8 4F03446B movi v11.4s, #0x63, lsl #0x10 4F0204DC movi v28.4s, #0x46 0F07259A movi v26.2s, #0xec, lsl #0x8 0F024580 movi v0.2s, #0x4c, lsl #0x10 // MOVI_asimdimm_M_sm 0x00111100000xxx110xxxxxxxxxxxxx 0F04D6D1 movi v17.2s, #0x96, msl #0x10 0F02C459 movi v25.2s, #0x42, msl #0x8 0F04C5F2 movi v18.2s, #0x8f, msl #0x8 0F02D5B1 movi v17.2s, #0x4d, msl #0x10 4F06C555 movi v21.4s, #0xca, msl #0x8 0F00D518 movi v24.2s, #0x8, msl #0x10 0F02D781 movi v1.2s, #0x5c, msl #0x10 0F06C543 movi v3.2s, #0xca, msl #0x8 4F02C744 movi v4.4s, #0x5a, msl #0x8 0F02D520 movi v0.2s, #0x49, msl #0x10 0F06D520 movi v0.2s, #0xc9, msl #0x10 0F04D54E movi v14.2s, #0x8a, msl #0x10 4F00D7A7 movi v7.4s, #0x1d, msl #0x10 4F02C44E movi v14.4s, #0x42, msl #0x8 0F03D65B movi v27.2s, #0x72, msl #0x10 4F03D486 movi v6.4s, #0x64, msl #0x10 // MOVI_asimdimm_N_b 0x00111100000xxx1110xxxxxxxxxxxx 4F04E52A movi v10.16b, #0x89 4F04E413 movi v19.16b, #0x80 4F05E472 movi v18.16b, #0xa3 0F01E719 movi v25.8b, #0x38 0F02E51B movi v27.8b, #0x48 0F01E489 movi v9.8b, #0x24 0F04E7F9 movi v25.8b, #0x9f 0F07E4D5 movi v21.8b, #0xe6 0F03E6F5 movi v21.8b, #0x77 0F06E506 movi v6.8b, #0xc8 4F07E422 movi v2.16b, #0xe1 4F00E5C4 movi v4.16b, #0xe 4F06E4A8 movi v8.16b, #0xc5 0F02E485 movi v5.8b, #0x44 4F02E7E8 movi v8.16b, #0x5f 4F06E6BC movi v28.16b, #0xd5 // MOVK_32_movewide 0111001010xxxxxxxxxxxxxxxxxxxxxx 72996C12 movk w18, #0xcb60 72B08AC8 movk w8, #0x8456, lsl #0x10 72841D02 movk w2, #0x20e8 72AEED15 movk w21, #0x7768, lsl #0x10 72A64453 movk w19, #0x3222, lsl #0x10 72A7D501 movk w1, #0x3ea8, lsl #0x10 72990787 movk w7, #0xc83c 72AACA2C movk w12, #0x5651, lsl #0x10 72ACF3BD movk w29, #0x679d, lsl #0x10 72BCADAD movk w13, #0xe56d, lsl #0x10 72ABDCDA movk w26, #0x5ee6, lsl #0x10 729988B2 movk w18, #0xcc45 72B2E457 movk w23, #0x9722, lsl #0x10 728ADCC6 movk w6, #0x56e6 72A08479 movk w25, #0x423, lsl #0x10 72A04155 movk w21, #0x20a, lsl #0x10 // MOVK_64_movewide 111100101xxxxxxxxxxxxxxxxxxxxxxx F29502E3 movk x3, #0xa817 F2910BB8 movk x24, #0x885d F2C574F7 movk x23, #0x2ba7, lsl #0x20 F2CD00A6 movk x6, #0x6805, lsl #0x20 F29D4659 movk x25, #0xea32 F2D4CC71 movk x17, #0xa663, lsl #0x20 F2E844E2 movk x2, #0x4227, lsl #0x30 F2AD2922 movk x2, #0x6949, lsl #0x10 F2E0E21A movk x26, #0x710, lsl #0x30 F2CEBFA4 movk x4, #0x75fd, lsl #0x20 F2B6EB55 movk x21, #0xb75a, lsl #0x10 F2D60B88 movk x8, #0xb05c, lsl #0x20 F2FA6FE2 movk x2, #0xd37f, lsl #0x30 F2A61607 movk x7, #0x30b0, lsl #0x10 F2A0F7FA movk x26, #0x7bf, lsl #0x10 F2A1775B movk x27, #0xbba, lsl #0x10 // MOVN_32_movewide 0001001010xxxxxxxxxxxxxxxxxxxxxx 129FFFE6 movn w6, #0xffff 12BFFFFC movn w28, #0xffff, lsl #0x10 129FFFE9 movn w9, #0xffff // MOVN_64_movewide 100100101xx0000000000000000xxxxx 92E0000D movn x13, #0x0, lsl #0x30 92A00019 movn x25, #0x0, lsl #0x10 92E00011 movn x17, #0x0, lsl #0x30 92C00014 movn x20, #0x0, lsl #0x20 92C00008 movn x8, #0x0, lsl #0x20 92A00012 movn x18, #0x0, lsl #0x10 92C0001B movn x27, #0x0, lsl #0x20 92E00001 movn x1, #0x0, lsl #0x30 92E00009 movn x9, #0x0, lsl #0x30 92E00002 movn x2, #0x0, lsl #0x30 92A00001 movn x1, #0x0, lsl #0x10 92C00012 movn x18, #0x0, lsl #0x20 92C00005 movn x5, #0x0, lsl #0x20 92A00015 movn x21, #0x0, lsl #0x10 92E0000E movn x14, #0x0, lsl #0x30 92E00004 movn x4, #0x0, lsl #0x30 // MOVS_ands_p_p_pp_z 001001010100xxxx01xxxx0xxxx0xxxx 2549492A movs p10.b, p2/z, p9.b 254D6DAF movs p15.b, p11/z, p13.b 254B796C movs p12.b, p14/z, p11.b 254E59C7 movs p7.b, p6/z, p14.b 254A4149 movs p9.b, p0/z, p10.b 254564A2 movs p2.b, p9/z, p5.b 25496928 movs p8.b, p10/z, p9.b 254664C8 movs p8.b, p9/z, p6.b 254650CA movs p10.b, p4/z, p6.b 254F71E1 movs p1.b, p12/z, p15.b 254E61CB movs p11.b, p8/z, p14.b 254C458E movs p14.b, p1/z, p12.b 254A5946 movs p6.b, p6/z, p10.b 254D51A5 movs p5.b, p4/z, p13.b 254B7D6A movs p10.b, p15/z, p11.b 254D59A0 movs p0.b, p6/z, p13.b // MOVS_orrs_p_p_pp_z 001001011100xxxx01xxxx0xxxx0xxxx 25CF7DEB movs p11.b, p15.b 25C96520 movs p0.b, p9.b 25CB6D6A movs p10.b, p11.b 25CE79CD movs p13.b, p14.b 25C4508E movs p14.b, p4.b 25C1442A movs p10.b, p1.b 25C34C6C movs p12.b, p3.b 25CD75A9 movs p9.b, p13.b 25C34C6B movs p11.b, p3.b 25C658C4 movs p4.b, p6.b 25C554AD movs p13.b, p5.b 25C45085 movs p5.b, p4.b 25C45081 movs p1.b, p4.b 25C04004 movs p4.b, p0.b 25CD75A4 movs p4.b, p13.b 25C45083 movs p3.b, p4.b // MOVZ_32_movewide 010100101010000000000000000xxxxx 52A00004 movz w4, #0x0, lsl #0x10 52A0001E movz w30, #0x0, lsl #0x10 52A00008 movz w8, #0x0, lsl #0x10 52A00003 movz w3, #0x0, lsl #0x10 52A0001D movz w29, #0x0, lsl #0x10 52A0000E movz w14, #0x0, lsl #0x10 52A0000A movz w10, #0x0, lsl #0x10 52A0001C movz w28, #0x0, lsl #0x10 52A0001F movz wzr, #0x0, lsl #0x10 52A00011 movz w17, #0x0, lsl #0x10 52A00015 movz w21, #0x0, lsl #0x10 52A00014 movz w20, #0x0, lsl #0x10 52A00018 movz w24, #0x0, lsl #0x10 52A0001A movz w26, #0x0, lsl #0x10 52A0000B movz w11, #0x0, lsl #0x10 52A00000 movz w0, #0x0, lsl #0x10 // MOVZ_64_movewide 110100101xx0000000000000000xxxxx D2E0000C movz x12, #0x0, lsl #0x30 D2A0000A movz x10, #0x0, lsl #0x10 D2E00013 movz x19, #0x0, lsl #0x30 D2E00002 movz x2, #0x0, lsl #0x30 D2E0000D movz x13, #0x0, lsl #0x30 D2E00005 movz x5, #0x0, lsl #0x30 D2C0001D movz x29, #0x0, lsl #0x20 D2A0000C movz x12, #0x0, lsl #0x10 D2E00007 movz x7, #0x0, lsl #0x30 D2A00015 movz x21, #0x0, lsl #0x10 D2C0000B movz x11, #0x0, lsl #0x20 D2C0000D movz x13, #0x0, lsl #0x20 D2C00016 movz x22, #0x0, lsl #0x20 D2A00002 movz x2, #0x0, lsl #0x10 D2A00004 movz x4, #0x0, lsl #0x10 D2A00008 movz x8, #0x0, lsl #0x10 // MOV_ADD_32_addsub_imm 0001000100000000000000xxxxxxxxxx 110003EE mov w14, wsp 1100039F mov wsp, w28 110003FD mov w29, wsp 1100027F mov wsp, w19 1100023F mov wsp, w17 110002FF mov wsp, w23 110003DF mov wsp, w30 110002BF mov wsp, w21 1100015F mov wsp, w10 110003FB mov w27, wsp 110003E2 mov w2, wsp 110000DF mov wsp, w6 110003F7 mov w23, wsp 110003F2 mov w18, wsp 110003EC mov w12, wsp 110003F9 mov w25, wsp // MOV_ADD_64_addsub_imm 1001000100000000000000xxxxxxxxxx 910003ED mov x13, sp 910003FD mov x29, sp 910003F1 mov x17, sp 910003E5 mov x5, sp 910000DF mov sp, x6 910003F4 mov x20, sp 910002FF mov sp, x23 910003F3 mov x19, sp 910003E1 mov x1, sp 910003F5 mov x21, sp 910000BF mov sp, x5 9100017F mov sp, x11 910003BF mov sp, x29 9100001F mov sp, x0 910003F9 mov x25, sp 9100005F mov sp, x2 // MOV_DUP_asisdone_only 01011110000xxxxx000001xxxxxxxxxx 5E040506 mov s6, v8.s[0] 5E130481 mov b1, v4.b[9] 5E0205B8 mov h24, v13.h[0] 5E1E0670 mov h16, v19.h[7] 5E1A04D0 mov h16, v6.h[6] 5E03045B mov b27, v2.b[1] 5E0D06CE mov b14, v22.b[6] 5E170464 mov b4, v3.b[11] 5E06057A mov h26, v11.h[1] 5E1F0723 mov b3, v25.b[15] 5E060417 mov h23, v0.h[1] 5E1C05C8 mov s8, v14.s[3] 5E0A055F mov h31, v10.h[2] 5E1A057E mov h30, v11.h[6] 5E0E0541 mov h1, v10.h[3] 5E0E04DC mov h28, v6.h[3] // MOV_INS_asimdins_IR_r 01001110000xxxxx000111xxxxxxxxxx 4E1A1E38 mov v24.h[6], w17 4E0E1FA5 mov v5.h[3], w29 4E0E1D59 mov v25.h[3], w10 4E1D1D83 mov v3.b[14], w12 4E0B1D67 mov v7.b[5], w11 4E151D31 mov v17.b[10], w9 4E0E1E27 mov v7.h[3], w17 4E141DF1 mov v17.s[2], w15 4E021C53 mov v19.h[0], w2 4E021DD7 mov v23.h[0], w14 4E1C1E24 mov v4.s[3], w17 4E091CBE mov v30.b[4], w5 4E111E1F mov v31.b[8], w16 4E1B1F02 mov v2.b[13], w24 4E151E41 mov v1.b[10], w18 4E041D61 mov v1.s[0], w11 // MOV_INS_asimdins_IV_v 01101110000xxxxx0xxxx1xxxxxxxxxx 6E060CE4 mov v4.h[1], v7.h[0] 6E163DD1 mov v17.h[5], v14.h[3] 6E1F0466 mov v6.b[15], v3.b[0] 6E096598 mov v24.b[4], v12.b[12] 6E046698 mov v24.s[0], v20.s[3] 6E041FBD mov v29.s[0], v29.s[0] 6E055618 mov v24.b[2], v16.b[10] 6E052F44 mov v4.b[2], v26.b[5] 6E0B3D3F mov v31.b[5], v9.b[7] 6E090416 mov v22.b[4], v0.b[0] 6E125C9D mov v29.h[4], v4.h[5] 6E1906CF mov v15.b[12], v22.b[0] 6E0136B1 mov v17.b[0], v21.b[6] 6E112C06 mov v6.b[8], v0.b[5] 6E1407A6 mov v6.s[2], v29.s[0] 6E021D81 mov v1.h[0], v12.h[1] // MOV_MOVN_32_movewide 0001001010xxxxxxxxxxxxxxxxxxxxxx 1289FFE0 mov w0, #-0x5000 12B16086 mov w6, #0x74fbffff 129E2BE1 mov w1, #-0xf160 12B8B4D9 mov w25, #0x3a59ffff 12A853FB mov w27, #-0x429f0001 128AC046 mov w6, #-0x5603 12A89873 mov w19, #-0x44c30001 12A1BF55 mov w21, #-0xdfa0001 12A66F82 mov w2, #-0x337c0001 128FAB74 mov w20, #-0x7d5c 12908C89 mov w9, #-0x8465 1292D658 mov w24, #-0x96b3 12A035E9 mov w9, #-0x1af0001 128371A9 mov w9, #-0x1b8e 12ADAFBA mov w26, #-0x6d7d0001 129ED565 mov w5, #-0xf6ac // MOV_MOVN_64_movewide 100100101xxxxxxxxxxxxxxxxxxxxxxx 92F2A512 mov x18, #0x6ad7ffffffffffff 92A2C62B mov x11, #-0x16310001 92C77C29 mov x9, #-0x3be100000001 92F430BE mov x30, #0x5e7affffffffffff 928E6FA2 mov x2, #-0x737e 929F7A9C mov x28, #-0xfbd5 92C22392 mov x18, #-0x111c00000001 92DB8660 mov x0, #-0xdc3300000001 928B87FA mov x26, #-0x5c40 92956536 mov x22, #-0xab2a 9298B3D3 mov x19, #-0xc59f 92AB5E7F mov xzr, #-0x5af30001 92D24CBA mov x26, #-0x926500000001 929EAE9E mov x30, #-0xf575 92AC3011 mov x17, #-0x61800001 92A460C9 mov x9, #-0x23060001 // MOV_MOVZ_32_movewide 0101001010xxxxxxxxxxxxxxxxxxxxxx 52A1CF72 mov w18, #0xe7b0000 52A48306 mov w6, #0x24180000 52BFBDB5 mov w21, #-0x2130000 5290DE60 mov w0, #0x86f3 52B8D9BA mov w26, #-0x39330000 52BE100A mov w10, #-0xf800000 52BB4DB0 mov w16, #-0x25930000 5297643C mov w28, #0xbb21 52818CC4 mov w4, #0xc66 52976B26 mov w6, #0xbb59 5299F361 mov w1, #0xcf9b 52AE367B mov w27, #0x71b30000 52A20270 mov w16, #0x10130000 52827151 mov w17, #0x138a 52A4CB13 mov w19, #0x26580000 52897160 mov w0, #0x4b8b // MOV_MOVZ_64_movewide 110100101xxxxxxxxxxxxxxxxxxxxxxx D2FFAEC8 mov x8, #-0x28a000000000000 D2CFDB7B mov x27, #0x7edb00000000 D2D25852 mov x18, #0x92c200000000 D2AA1328 mov x8, #0x50990000 D2E8BAE5 mov x5, #0x45d7000000000000 D2FA896F mov x15, #-0x2bb5000000000000 D2F8515D mov x29, #-0x3d76000000000000 D29FFC2C mov x12, #0xffe1 D2A9D59F mov xzr, #0x4eac0000 D2CE9851 mov x17, #0x74c200000000 D2A76DEF mov x15, #0x3b6f0000 D2DDB1CD mov x13, #0xed8e00000000 D2FD5F74 mov x20, #-0x1505000000000000 D2A0CFFF mov xzr, #0x67f0000 D28D4647 mov x7, #0x6a32 D2C5A634 mov x20, #0x2d3100000000 // MOV_ORR_32_log_imm 0011001000xxxxxxxxxxxxxxxxxxxxxx 323083E1 mov w1, #0x10001 3232ABE6 mov w6, #-0x3e003e01 3232C7F6 mov w22, #-0x3f3f3f40 322DA7F3 mov w19, #0x1ff81ff8 3221C7E4 mov w4, #-0x7e7e7e7f 323D6BE4 mov w4, #0x3ffffff8 320FB3E2 mov w2, #0x3ffe3ffe 32321BFE mov w30, #0x1fc000 320A53FC mov w28, #-0x3ff801 3206B7F6 mov w22, #-0x3000301 32053BEE mov w14, #-0x7fffc01 321237E2 mov w2, #0xfffc000 32011FEA mov w10, #-0x7fffff81 322C63F8 mov w24, #-0xfe001 322CD3E1 mov w1, #-0xe0e0e0f 323F63F2 mov w18, #0x3fffffe // MOV_ORR_32_log_shift 00101010000xxxxx00000011111xxxxx 2A1503E7 mov w7, w21 2A1803E8 mov w8, w24 2A0D03FF mov wzr, w13 2A0203E9 mov w9, w2 2A0A03E8 mov w8, w10 2A1403E4 mov w4, w20 2A0403E4 mov w4, w4 2A1703ED mov w13, w23 2A0103F5 mov w21, w1 2A1A03E4 mov w4, w26 2A1E03E6 mov w6, w30 2A1C03ED mov w13, w28 2A0F03FF mov wzr, w15 2A0D03FD mov w29, w13 2A1903E1 mov w1, w25 2A1903FE mov w30, w25 // MOV_ORR_64_log_imm 101100100xxxxxxxxxxxxxxxxxxxxxxx B25B83E9 mov x9, #-0x1fffffffc1 B241A7FC mov x28, #-0x7ffffe0000000001 B20C3FEF mov x15, #-0xffff0000ffff1 B24F7FED mov x13, #-0x1fffffffe0001 B24A43F5 mov x21, #-0x3fffffffffff81 B2605FE5 mov x5, #0xffffff00000000 B2483FFE mov x30, #-0xffffffffffff01 B27FE7E9 mov x9, #0x7fffffffffffffe B235AFFD mov x29, #-0x780078007800781 B213AFE3 mov x3, #-0x1e001e001e001e01 B2233FE8 mov x8, #-0x1fffe0001fffe001 B23A5FE5 mov x5, #0x3fffffc03fffffc0 B2121FEB mov x11, #0x3fc000003fc000 B22C63FB mov x27, #-0xfe000000fe001 B212BBFC mov x28, #-0x2000200020002001 B27B3BE9 mov x9, #0xfffe0 // MOV_ORR_64_log_shift 10101010000xxxxx00000011111xxxxx AA1F03E9 mov x9, xzr AA1103F8 mov x24, x17 AA1703F8 mov x24, x23 AA1303E5 mov x5, x19 AA0A03F1 mov x17, x10 AA0403EF mov x15, x4 AA0803F8 mov x24, x8 AA0503E7 mov x7, x5 AA0803FD mov x29, x8 AA0E03E6 mov x6, x14 AA0B03EA mov x10, x11 AA1803EA mov x10, x24 AA1603FD mov x29, x22 AA0F03F1 mov x17, x15 AA1403F5 mov x21, x20 AA0603FA mov x26, x6 // MOV_ORR_asimdsame_only 0x001110101xxxxx000111xxxxxxxxxx 0EAB1D68 mov v8.8b, v11.8b 0EB21E4C mov v12.8b, v18.8b 4EAA1D52 mov v18.16b, v10.16b 0EA61CDF mov v31.8b, v6.8b 4EBB1F73 mov v19.16b, v27.16b 0EA81D13 mov v19.8b, v8.8b 4EAD1DA3 mov v3.16b, v13.16b 0EA21C4B mov v11.8b, v2.8b 4EA41C97 mov v23.16b, v4.16b 0EB51EAE mov v14.8b, v21.8b 0EAC1D82 mov v2.8b, v12.8b 4EA81D11 mov v17.16b, v8.16b 0EB01E09 mov v9.8b, v16.8b 4EA41C9F mov v31.16b, v4.16b 4EB71EF8 mov v24.16b, v23.16b 4EB31E79 mov v25.16b, v19.16b // MOV_UMOV_asimdins_W_w 00001110000xx100001111xxxxxxxxxx 0E143C1E mov w30, v0.s[2] 0E043D21 mov w1, v9.s[0] 0E043E03 mov w3, v16.s[0] 0E043F3A mov w26, v25.s[0] 0E0C3FA2 mov w2, v29.s[1] 0E1C3C66 mov w6, v3.s[3] 0E143C58 mov w24, v2.s[2] 0E043D54 mov w20, v10.s[0] 0E043EAB mov w11, v21.s[0] 0E0C3EF8 mov w24, v23.s[1] 0E1C3F8A mov w10, v28.s[3] 0E0C3D26 mov w6, v9.s[1] 0E043E53 mov w19, v18.s[0] 0E1C3E9A mov w26, v20.s[3] 0E0C3ED7 mov w23, v22.s[1] 0E143D1E mov w30, v8.s[2] // MOV_UMOV_asimdins_X_x 01001110000x1000001111xxxxxxxxxx 4E083E01 mov x1, v16.d[0] 4E183FE2 mov x2, v31.d[1] 4E183C8A mov x10, v4.d[1] 4E083E13 mov x19, v16.d[0] 4E183DCE mov x14, v14.d[1] 4E083ECC mov x12, v22.d[0] 4E083D0E mov x14, v8.d[0] 4E083F58 mov x24, v26.d[0] 4E083C2E mov x14, v1.d[0] 4E183D20 mov x0, v9.d[1] 4E083E48 mov x8, v18.d[0] 4E183FEE mov x14, v31.d[1] 4E083D05 mov x5, v8.d[0] 4E183C99 mov x25, v4.d[1] 4E083EF2 mov x18, v23.d[0] 4E083D83 mov x3, v12.d[0] // MOV_and_p_p_pp_z 001001010000xxxx01xxxx0xxxx0xxxx 250B7D69 mov p9.b, p15/z, p11.b 25016822 mov p2.b, p10/z, p1.b 250F7DE2 mov p2.b, p15/z, p15.b 250740E5 mov p5.b, p0/z, p7.b 2501602E mov p14.b, p8/z, p1.b 25007002 mov p2.b, p12/z, p0.b 250A4548 mov p8.b, p1/z, p10.b 25095927 mov p7.b, p6/z, p9.b 250D65AC mov p12.b, p9/z, p13.b 250674C4 mov p4.b, p13/z, p6.b 250774E9 mov p9.b, p13/z, p7.b 250560A8 mov p8.b, p8/z, p5.b 250C5585 mov p5.b, p5/z, p12.b 25074CE0 mov p0.b, p3/z, p7.b 25095920 mov p0.b, p6/z, p9.b 250B616E mov p14.b, p8/z, p11.b // MOV_cpy_z_o_i_ 00000101xx01xxxx00xxxxxxxxxxxxxx 059F1FC2 mov z2.s, p15/z, #-2 059A000A mov z10.s, p10/z, #0x0 055C0283 mov z3.h, p12/z, #0x14 05583ECE mov z14.h, p8/z, #-2560 059B17E7 mov z7.s, p11/z, #-65 059E0C3D mov z29.s, p14/z, #0x61 05DC3430 mov z16.d, p12/z, #-24320 055605D7 mov z23.h, p6/z, #0x2e 05D81277 mov z23.d, p8/z, #-109 05922CB6 mov z22.s, p2/z, #0x6500 059739DD mov z29.s, p7/z, #-12800 05581B93 mov z19.h, p8/z, #-36 051D1F5F mov z31.b, p13/z, #-6 05503BAC mov z12.h, p0/z, #-8960 05921D1F mov z31.s, p2/z, #-24 05D22D15 mov z21.d, p2/z, #0x6800 // MOV_cpy_z_p_i_ 00000101xx01xxxx01xxxxxxxxxxxxxx 05DE6902 mov z2.d, p14/m, #0x4800 055C635D mov z29.h, p12/m, #0x1a00 059868AA mov z10.s, p8/m, #0x4500 05DA6815 mov z21.d, p10/m, #0x4000 05D74D07 mov z7.d, p7/m, #0x68 0556680F mov z15.h, p6/m, #0x4000 05D4485B mov z27.d, p4/m, #0x42 05D47FA0 mov z0.d, p4/m, #-768 05D67A36 mov z22.d, p6/m, #-12032 05575A52 mov z18.h, p7/m, #-46 05937001 mov z1.s, p3/m, #-32768 05D965FC mov z28.d, p9/m, #0x2f00 05926A59 mov z25.s, p2/m, #0x5200 059A65FA mov z26.s, p10/m, #0x2f00 05DD50BB mov z27.d, p13/m, #-123 05544AB8 mov z24.h, p4/m, #0x55 // MOV_cpy_z_p_r_ 00000101xx101000101xxxxxxxxxxxxx 0568B0C1 mov z1.h, p4/m, w6 0528B60B mov z11.b, p5/m, w16 05A8B413 mov z19.s, p5/m, w0 05E8A5C3 mov z3.d, p1/m, x14 0568AD11 mov z17.h, p3/m, w8 05E8AC7B mov z27.d, p3/m, x3 05E8A759 mov z25.d, p1/m, x26 0568B89C mov z28.h, p6/m, w4 05A8BECE mov z14.s, p7/m, w22 05A8A3E7 mov z7.s, p0/m, wsp 0528B8AB mov z11.b, p6/m, w5 05A8B78E mov z14.s, p5/m, w28 0528BC90 mov z16.b, p7/m, w4 0528BB62 mov z2.b, p6/m, w27 0528B3ED mov z13.b, p4/m, wsp 05E8A545 mov z5.d, p1/m, x10 // MOV_cpy_z_p_v_ 00000101xx100000100xxxxxxxxxxxxx 05E09862 mov z2.d, p6/m, d3 05E08595 mov z21.d, p1/m, d12 05A09E03 mov z3.s, p7/m, s16 05209B89 mov z9.b, p6/m, b28 05A082C5 mov z5.s, p0/m, s22 05E09B80 mov z0.d, p6/m, d28 05E08E0A mov z10.d, p3/m, d16 052096A8 mov z8.b, p5/m, b21 05A09C77 mov z23.s, p7/m, s3 05208012 mov z18.b, p0/m, b0 05A08C47 mov z7.s, p3/m, s2 05A09D75 mov z21.s, p7/m, s11 05E09628 mov z8.d, p5/m, d17 05A09855 mov z21.s, p6/m, s2 05209235 mov z21.b, p4/m, b17 0560929F mov z31.h, p4/m, h20 // MOV_dup_z_i_ 00100101xx11100011xxxxxxxxxxxxxx 25F8F691 mov z17.d, #-19456 25F8E805 mov z5.d, #0x4000 2578D8E1 mov z1.h, #-57 2578C639 mov z25.h, #0x31 25F8C8E9 mov z9.d, #0x47 2578C66E mov z14.h, #0x33 25B8D864 mov z4.s, #-61 25B8E883 mov z3.s, #0x4400 25B8D2A7 mov z7.s, #-107 2578CF2A mov z10.h, #0x79 25F8EA6B mov z11.d, #0x5300 25F8EAC4 mov z4.d, #0x5600 25B8E61A mov z26.s, #0x3000 2578D140 mov z0.h, #-118 25F8C597 mov z23.d, #0x2c 2578DA1E mov z30.h, #-48 // MOV_dup_z_r_ 00000101xx100000001110xxxxxxxxxx 05E03A66 mov z6.d, x19 05603A7F mov z31.h, w19 0520395C mov z28.b, w10 05203BE0 mov z0.b, wsp 05E03BF3 mov z19.d, sp 05A03BEC mov z12.s, wsp 05A038D7 mov z23.s, w6 05E039E7 mov z7.d, x15 05A03A60 mov z0.s, w19 056038FE mov z30.h, w7 05203A26 mov z6.b, w17 056038EF mov z15.h, w7 052038C1 mov z1.b, w6 05603A25 mov z5.h, w17 05A039C7 mov z7.s, w14 05E03923 mov z3.d, x9 // MOV_dup_z_zi_ 00000101001xxxxx001000xxxxxxxxxx 05242317 mov z23.s, s24 0521213C mov z28.b, b9 052420B9 mov z25.s, s5 052422C7 mov z7.s, s22 052121BD mov z29.b, b13 05282141 mov z1.d, d10 0521212C mov z12.b, b9 05242371 mov z17.s, s27 05282060 mov z0.d, d3 0528232F mov z15.d, d25 052821A6 mov z6.d, d13 0524233E mov z30.s, s25 052422A2 mov z2.s, s21 053021A5 mov z5.q, q13 052221E1 mov z1.h, h15 05282382 mov z2.d, d28 // MOV_dup_z_zi_2 00000101xx1xxxxx001000xxxxxxxxxx 05342076 mov z22.s, z3.s[2] 05232164 mov z4.b, z11.b[1] 05622052 mov z18.h, z2.h[8] 05F522B7 mov z23.b, z21.b[58] 05F2208B mov z11.h, z4.h[28] 057F230A mov z10.b, z24.b[31] 0566233C mov z28.h, z25.h[9] 05BD206D mov z13.b, z3.b[46] 05E52329 mov z9.b, z25.b[50] 05BB22A0 mov z0.b, z21.b[45] 052B2058 mov z24.b, z2.b[5] 05FD20D9 mov z25.b, z6.b[62] 056A229B mov z27.h, z20.h[10] 056C2203 mov z3.s, z16.s[5] 05A721C1 mov z1.b, z14.b[35] 05F422B8 mov z24.s, z21.s[14] // MOV_dupm_z_i_ 00000101110000xxxxxxxxxxxxxxxxxx 05C060F2 mov z18.s, #0xff00000 05C15E03 dupm z3.b, #0x20 05C2EB55 mov z21.d, #0x3ffffff800000000 05C0C942 mov z2.s, #0x3ff80 05C247C3 mov z3.d, #0xff7fffffffffffff 05C25514 mov z20.d, #0xffc000007fffffff 05C18E0A dupm z10.b, #0x80 05C2943C mov z28.d, #0xffffc0000000ffff 05C2AE58 mov z24.d, #0xfffff8003fffffff 05C1D247 mov z7.s, #0x1ffffc0 05C0B1A9 mov z9.s, #0xfffc00 05C3AD8D mov z13.d, #0xfffffffffff800 05C2E1F4 mov z20.d, #0xffff000000000 05C2F3DC mov z28.d, #0xfffffffc00000001 05C3F39F mov z31.d, #0x7ffffffc 05C12965 mov z5.s, #0xf800007f // MOV_orr_p_p_pp_z 001001011000xxxx01xxxx0xxxx0xxxx 258554A9 mov p9.b, p5.b 258554A2 mov p2.b, p5.b 258658CF mov p15.b, p6.b 258554A6 mov p6.b, p5.b 2580400C mov p12.b, p0.b 258F7DE0 mov p0.b, p15.b 25814425 mov p5.b, p1.b 258658CD mov p13.b, p6.b 258554AE mov p14.b, p5.b 258E79C8 mov p8.b, p14.b 258658CC mov p12.b, p6.b 25875CEE mov p14.b, p7.b 258F7DE6 mov p6.b, p15.b 258B6D6F mov p15.b, p11.b 258B6D6E mov p14.b, p11.b 258E79C9 mov p9.b, p14.b // MOV_orr_z_zz_ 00000100011xxxxx001100xxxxxxxxxx 047532B7 mov z23.d, z21.d 04603004 mov z4.d, z0.d 046D31B4 mov z20.d, z13.d 046730E5 mov z5.d, z7.d 0468311F mov z31.d, z8.d 046530BC mov z28.d, z5.d 047F33E4 mov z4.d, z31.d 04643096 mov z22.d, z4.d 046630DE mov z30.d, z6.d 047F33EF mov z15.d, z31.d 046B316A mov z10.d, z11.d 0473327C mov z28.d, z19.d 047C339D mov z29.d, z28.d 0473326D mov z13.d, z19.d 04743297 mov z23.d, z20.d 04733278 mov z24.d, z19.d // MOV_sel_p_p_pp_ 001001010000xxxx01xxxx1xxxxxxxxx 250863B8 mov p8.b, p8/m, p13.b 250B7BBB mov p11.b, p14/m, p13.b 250677D6 mov p6.b, p13/m, p14.b 250457D4 mov p4.b, p5/m, p14.b 250D7E5D mov p13.b, p15/m, p2.b 25085FB8 mov p8.b, p7/m, p13.b 250163F1 mov p1.b, p8/m, p15.b 25034213 mov p3.b, p0/m, p0.b 250B635B mov p11.b, p8/m, p10.b 25056E15 mov p5.b, p11/m, p0.b 250C629C mov p12.b, p8/m, p4.b 25005F10 mov p0.b, p7/m, p8.b 25016371 mov p1.b, p8/m, p11.b 250A5EBA mov p10.b, p7/m, p5.b 25015E71 mov p1.b, p7/m, p3.b 25094FB9 mov p9.b, p3/m, p13.b // MOV_sel_z_p_zz_ 00000101xx1xxxxx11xxxxxxxxxxxxxx 05F7E857 mov z23.d, p10/m, z2.d 0529EA29 mov z9.b, p10/m, z17.b 0569F3E9 mov z9.h, p12/m, z31.h 05BACD9A mov z26.s, p3/m, z12.s 0578EB78 mov z24.h, p10/m, z27.h 0527E307 mov z7.b, p8/m, z24.b 0529F7A9 mov z9.b, p13/m, z29.b 057EE79E mov z30.h, p9/m, z28.h 052CD22C mov z12.b, p4/m, z17.b 0567D987 mov z7.h, p6/m, z12.h 05A4E244 mov z4.s, p8/m, z18.s 05EDD88D mov z13.d, p6/m, z4.d 05F5FE35 mov z21.d, p15/m, z17.d 05B3DD53 mov z19.s, p7/m, z10.s 05B1ECD1 mov z17.s, p11/m, z6.s 05E0C1C0 mov z0.d, p0/m, z14.d // MRS_RS_systemmove 11010101xxxxxxxxxxxxxxxxxxxxxxxx D539533E mrs x30, s3_1_c5_c3_1 D53C935D mrs x29, s3_4_c9_c3_2 D5340E30 mrs x16, s2_4_c0_c14_1 D5338E3A mrs x26, s2_3_c8_c14_1 D5385287 mrs x7, s3_0_c5_c2_4 D53444B6 mrs x22, s2_4_c4_c4_5 D53CC504 mrs x4, s3_4_c12_c5_0 D53B65F5 mrs x21, s3_3_c6_c5_7 D532DCE9 mrs x9, s2_2_c13_c12_7 D531CA8D mrs x13, s2_1_c12_c10_4 D53E597E mrs x30, s3_6_c5_c9_3 D5331CD5 mrs x21, s2_3_c1_c12_6 D53F61B6 mrs x22, s3_7_c6_c1_5 D535B513 mrs x19, s2_5_c11_c5_0 D53E3602 mrs x2, s3_6_c3_c6_0 D53BE1EE mrs x14, s3_3_c14_c1_7 // MSR_SI_pstate 1101010100000xxxxxxxxxxxxxxxxxxx D50049BF msr spsel, #0x9 D500459F msr s0_0_c4_c5_4, xzr D5034EDF msr daifset, #0xe D503455F msr dit, #0x5 D500449F msr s0_0_c4_c4_4, xzr D5004A7F msr uao, #0xa D5034D3F msr s0_3_c4_c13_1, xzr D503439F msr tco, #0x3 D5034EFF msr daifclr, #0xe D5034B5F msr dit, #0xb D50345FF msr daifclr, #0x5 D50348FF msr daifclr, #0x8 D50349FF msr daifclr, #0x9 D503479F msr tco, #0x7 D503403F msr ssbs, #0x0 D5004EBF msr spsel, #0xe // MSR_SR_systemmove 1101010100xxxxxxxxxxxxxxxxxxxxxx D51B192B msr s3_3_c1_c9_1, x11 D51E47EE msr s3_6_c4_c7_7, x14 D515B539 msr s2_5_c11_c5_1, x25 D511BF87 msr s2_1_c11_c15_4, x7 D511B456 msr s2_1_c11_c4_2, x22 D511DB87 msr s2_1_c13_c11_4, x7 D5184905 msr s3_0_c4_c9_0, x5 D5125208 msr s2_2_c5_c2_0, x8 D512B717 msr s2_2_c11_c7_0, x23 D5197989 msr s3_1_c7_c9_4, x9 D512A4C6 msr s2_2_c10_c4_6, x6 D51228B6 msr s2_2_c2_c8_5, x22 D51F0263 msr s3_7_c0_c2_3, x3 D51739CC msr s2_7_c3_c9_6, x12 D516AA18 msr s2_6_c10_c10_0, x24 D5195BBF msr s3_1_c5_c11_5, xzr // MSUB_32A_dp_3src 00011011000xxxxx1xxxxxxxxxxxxxxx 1B00D8DE msub w30, w6, w0, w22 1B04C75F msub wzr, w26, w4, w17 1B05D4C2 msub w2, w6, w5, w21 1B0BE1D0 msub w16, w14, w11, w24 1B10B1B8 msub w24, w13, w16, w12 1B1DE5E2 msub w2, w15, w29, w25 1B1FE00F msub w15, w0, wzr, w24 1B05919B msub w27, w12, w5, w4 1B1E843A msub w26, w1, w30, w1 1B15AC0D msub w13, w0, w21, w11 1B14CB1A msub w26, w24, w20, w18 1B06F000 msub w0, w0, w6, w28 1B07DF77 msub w23, w27, w7, w23 1B1CC0C9 msub w9, w6, w28, w16 1B0B94E9 msub w9, w7, w11, w5 1B02CF4B msub w11, w26, w2, w19 // MSUB_64A_dp_3src 10011011000xxxxx1xxxxxxxxxxxxxxx 9B1D8C84 msub x4, x4, x29, x3 9B0AC571 msub x17, x11, x10, x17 9B0EF2A3 msub x3, x21, x14, x28 9B008BFE msub x30, xzr, x0, x2 9B039EC4 msub x4, x22, x3, x7 9B10F7C3 msub x3, x30, x16, x29 9B17B4F5 msub x21, x7, x23, x13 9B0ADFF8 msub x24, xzr, x10, x23 9B14E892 msub x18, x4, x20, x26 9B10F23D msub x29, x17, x16, x28 9B19F417 msub x23, x0, x25, x29 9B1DE226 msub x6, x17, x29, x24 9B1A8FB0 msub x16, x29, x26, x3 9B0DD84D msub x13, x2, x13, x22 9B0CD64E msub x14, x18, x12, x21 9B05BE59 msub x25, x18, x5, x15 // MUL_MADD_32A_dp_3src 00011011000xxxxx011111xxxxxxxxxx 1B137D68 mul w8, w11, w19 1B0C7E6F mul w15, w19, w12 1B1B7ECC mul w12, w22, w27 1B077DF7 mul w23, w15, w7 1B137FA0 mul w0, w29, w19 1B127C06 mul w6, w0, w18 1B187EB0 mul w16, w21, w24 1B067FC9 mul w9, w30, w6 1B087E9A mul w26, w20, w8 1B1D7E87 mul w7, w20, w29 1B027DCA mul w10, w14, w2 1B167DC6 mul w6, w14, w22 1B1A7EF5 mul w21, w23, w26 1B1F7C7A mul w26, w3, wzr 1B097EFF mul wzr, w23, w9 1B0F7C8D mul w13, w4, w15 // MUL_MADD_64A_dp_3src 10011011000xxxxx011111xxxxxxxxxx 9B057C24 mul x4, x1, x5 9B017E47 mul x7, x18, x1 9B027E0E mul x14, x16, x2 9B017F3E mul x30, x25, x1 9B007E16 mul x22, x16, x0 9B127E95 mul x21, x20, x18 9B027D13 mul x19, x8, x2 9B197D1B mul x27, x8, x25 9B197E23 mul x3, x17, x25 9B147CEF mul x15, x7, x20 9B1C7F92 mul x18, x28, x28 9B0B7C85 mul x5, x4, x11 9B077F58 mul x24, x26, x7 9B1C7E39 mul x25, x17, x28 9B1D7D5F mul xzr, x10, x29 9B077DB9 mul x25, x13, x7 // MUL_asimdelem_R 0x001111xxxxxxxx1000xxxxxxxxxxxx 4F63801F mul v31.8h, v0.8h, v3.h[2] 4F598392 mul v18.8h, v28.8h, v9.h[1] 0F66806F mul v15.4h, v3.4h, v6.h[2] 4F5781B7 mul v23.8h, v13.8h, v7.h[1] 4FB48A20 mul v0.4s, v17.4s, v20.s[3] 0FB28236 mul v22.2s, v17.2s, v18.s[1] 0F7C8A5A mul v26.4h, v18.4h, v12.h[7] 4F508040 mul v0.8h, v2.8h, v0.h[1] 4F898A04 mul v4.4s, v16.4s, v9.s[2] 4F728BA1 mul v1.8h, v29.8h, v2.h[7] 0F70833F mul v31.4h, v25.4h, v0.h[3] 0F728959 mul v25.4h, v10.4h, v2.h[7] 4F938B3C mul v28.4s, v25.4s, v19.s[2] 4FB589F1 mul v17.4s, v15.4s, v21.s[3] 4F9F80D9 mul v25.4s, v6.4s, v31.s[0] 4FBB8284 mul v4.4s, v20.4s, v27.s[1] // MUL_asimdsame_only 0x001110xx1xxxxx100111xxxxxxxxxx 4E3E9C65 mul v5.16b, v3.16b, v30.16b 4E619E2C mul v12.8h, v17.8h, v1.8h 0E279C47 mul v7.8b, v2.8b, v7.8b 4E2D9DF0 mul v16.16b, v15.16b, v13.16b 4E259F10 mul v16.16b, v24.16b, v5.16b 0E699E60 mul v0.4h, v19.4h, v9.4h 4EA29D39 mul v25.4s, v9.4s, v2.4s 4E7D9DBD mul v29.8h, v13.8h, v29.8h 0EB59FCE mul v14.2s, v30.2s, v21.2s 4E6C9C0C mul v12.8h, v0.8h, v12.8h 0E759F6D mul v13.4h, v27.4h, v21.4h 4EBB9E0B mul v11.4s, v16.4s, v27.4s 0EB69FD9 mul v25.2s, v30.2s, v22.2s 0E3B9DF4 mul v20.8b, v15.8b, v27.8b 0E7A9CFC mul v28.4h, v7.4h, v26.4h 4E329F1A mul v26.16b, v24.16b, v18.16b // MVNI_asimdimm_L_hl 0x10111100000xxx10x0xxxxxxxxxxxx 2F0286D3 mvni v19.4h, #0x56 6F0585F2 mvni v18.8h, #0xaf 2F05A6A9 mvni v9.4h, #0xb5, lsl #0x8 6F0087F6 mvni v22.8h, #0x1f 2F03A7A6 mvni v6.4h, #0x7d, lsl #0x8 6F05A58F mvni v15.8h, #0xac, lsl #0x8 2F068742 mvni v2.4h, #0xda 6F00A7C9 mvni v9.8h, #0x1e, lsl #0x8 2F0385BB mvni v27.4h, #0x6d 2F0485E4 mvni v4.4h, #0x8f 2F04879C mvni v28.4h, #0x9c 6F068526 mvni v6.8h, #0xc9 2F0784A5 mvni v5.4h, #0xe5 6F03A424 mvni v4.8h, #0x61, lsl #0x8 6F008720 mvni v0.8h, #0x19 2F0186D8 mvni v24.4h, #0x36 // MVNI_asimdimm_L_sl 0x10111100000xxx0xx0xxxxxxxxxxxx 6F0527C1 mvni v1.4s, #0xbe, lsl #0x8 2F026427 mvni v7.2s, #0x41, lsl #0x18 2F00278E mvni v14.2s, #0x1c, lsl #0x8 2F0744DE mvni v30.2s, #0xe6, lsl #0x10 6F006431 mvni v17.4s, #0x1, lsl #0x18 2F064706 mvni v6.2s, #0xd8, lsl #0x10 2F030435 mvni v21.2s, #0x61 6F066754 mvni v20.4s, #0xda, lsl #0x18 6F020565 mvni v5.4s, #0x4b 2F03042E mvni v14.2s, #0x61 2F00067B mvni v27.2s, #0x13 2F066478 mvni v24.2s, #0xc3, lsl #0x18 6F006684 mvni v4.4s, #0x14, lsl #0x18 2F05661A mvni v26.2s, #0xb0, lsl #0x18 6F0166E1 mvni v1.4s, #0x37, lsl #0x18 2F03668F mvni v15.2s, #0x74, lsl #0x18 // MVNI_asimdimm_M_sm 0x10111100000xxx110xxxxxxxxxxxxx 6F02C517 mvni v23.4s, #0x48, msl #0x8 6F06C47E mvni v30.4s, #0xc3, msl #0x8 6F07D5D4 mvni v20.4s, #0xee, msl #0x10 6F07C43B mvni v27.4s, #0xe1, msl #0x8 6F07C703 mvni v3.4s, #0xf8, msl #0x8 2F04D746 mvni v6.2s, #0x9a, msl #0x10 2F07C4C9 mvni v9.2s, #0xe6, msl #0x8 2F01C563 mvni v3.2s, #0x2b, msl #0x8 2F03D491 mvni v17.2s, #0x64, msl #0x10 6F03C4D9 mvni v25.4s, #0x66, msl #0x8 2F05D5CC mvni v12.2s, #0xae, msl #0x10 6F00D63A mvni v26.4s, #0x11, msl #0x10 2F05C51A mvni v26.2s, #0xa8, msl #0x8 6F06D563 mvni v3.4s, #0xcb, msl #0x10 6F06C676 mvni v22.4s, #0xd3, msl #0x8 6F01C543 mvni v3.4s, #0x2a, msl #0x8 // MVN_NOT_asimdmisc_R 0x10111000100000010110xxxxxxxxxx 6E205A52 mvn v18.16b, v18.16b 6E205834 mvn v20.16b, v1.16b 2E2059E4 mvn v4.8b, v15.8b 2E205AB2 mvn v18.8b, v21.8b 6E205B6A mvn v10.16b, v27.16b 6E205B2D mvn v13.16b, v25.16b 6E2059B3 mvn v19.16b, v13.16b 2E205A89 mvn v9.8b, v20.8b 6E205B95 mvn v21.16b, v28.16b 2E205B88 mvn v8.8b, v28.8b 6E205828 mvn v8.16b, v1.16b 6E20587A mvn v26.16b, v3.16b 6E205B0F mvn v15.16b, v24.16b 6E205A23 mvn v3.16b, v17.16b 6E205A0F mvn v15.16b, v16.16b 2E2058BB mvn v27.8b, v5.8b // MVN_ORN_32_log_shift 00101010xx1xxxxxxxxxxxxxxxxxxxxx 2AAB27E2 mvn w2, w11, asr #0x9 2A3C07FB mvn w27, w28, lsl #0x1 2A3D77F6 mvn w22, w29, lsl #0x1d 2AAF6BE8 mvn w8, w15, asr #0x1a 2AF117E0 mvn w0, w17, ror #0x5 2ABC3BFC mvn w28, w28, asr #0xe 2AE91FF8 mvn w24, w9, ror #0x7 2A235FE7 mvn w7, w3, lsl #0x17 2A3E7BF5 mvn w21, w30, lsl #0x1e 2A6027ED mvn w13, w0, lsr #0x9 2A3253F0 mvn w16, w18, lsl #0x14 2A206FE7 mvn w7, w0, lsl #0x1b 2AB743EB mvn w11, w23, asr #0x10 2AB32BFC mvn w28, w19, asr #0xa 2A2133F6 mvn w22, w1, lsl #0xc 2A6453E7 mvn w7, w4, lsr #0x14 // MVN_ORN_64_log_shift 10101010xx1xxxxxxxxxxx11111xxxxx AA731FFA mvn x26, x19, lsr #0x7 AAA273EF mvn x15, x2, asr #0x1c AAF803F8 mvn x24, x24, ror #0x0 AA2567EF mvn x15, x5, lsl #0x19 AAE01BEB mvn x11, x0, ror #0x6 AA76FBF7 mvn x23, x22, lsr #0x3e AAF69FEC mvn x12, x22, ror #0x27 AAFFEBE8 mvn x8, xzr, ror #0x3a AAF4DFF0 mvn x16, x20, ror #0x37 AA7D83E7 mvn x7, x29, lsr #0x20 AA6717FF mvn xzr, x7, lsr #0x5 AAB477EF mvn x15, x20, asr #0x1d AABFE3EB mvn x11, xzr, asr #0x38 AA38B7EB mvn x11, x24, lsl #0x2d AAFEE3E3 mvn x3, x30, ror #0x38 AAE393ED mvn x13, x3, ror #0x24 // NEGS_SUBS_32_addsub_shift 01101011xx0xxxxx0xxxxx11111xxxxx 6B502BE3 negs w3, w16, lsr #0xa 6B8717F0 negs w16, w7, asr #0x5 6B826FF5 negs w21, w2, asr #0x1b 6B8F33F7 negs w23, w15, asr #0xc 6B9747E3 negs w3, w23, asr #0x11 6B9A53F4 negs w20, w26, asr #0x14 6B172FF5 negs w21, w23, lsl #0xb 6B0A3BE3 negs w3, w10, lsl #0xe 6B8A63EF negs w15, w10, asr #0x18 6B8B43E9 negs w9, w11, asr #0x10 6B8E3BED negs w13, w14, asr #0xe 6B9623FE negs w30, w22, asr #0x8 6B555BE2 negs w2, w21, lsr #0x16 6B500BF0 negs w16, w16, lsr #0x2 6B105BFA negs w26, w16, lsl #0x16 6B065FE2 negs w2, w6, lsl #0x17 // NEGS_SUBS_64_addsub_shift 11101011xx0xxxxxxxxxxx11111xxxxx EB102BFE negs x30, x16, lsl #0xa EB0F5BE4 negs x4, x15, lsl #0x16 EB5007EB negs x11, x16, lsr #0x1 EB4F13ED negs x13, x15, lsr #0x4 EB0C53E0 negs x0, x12, lsl #0x14 EB4373F3 negs x19, x3, lsr #0x1c EB5FB7E7 negs x7, xzr, lsr #0x2d EB8BDBEC negs x12, x11, asr #0x36 EB4BD3F7 negs x23, x11, lsr #0x34 EB14C7E2 negs x2, x20, lsl #0x31 EB432BEF negs x15, x3, lsr #0xa EB1B5FF0 negs x16, x27, lsl #0x17 EB5CE7EE negs x14, x28, lsr #0x39 EB900FF8 negs x24, x16, asr #0x3 EB06AFE0 negs x0, x6, lsl #0x2b EB1907F3 negs x19, x25, lsl #0x1 // NEG_SUB_32_addsub_shift 01001011xx0xxxxxxxxxxxxxxxxxxxxx 4B8C13E1 neg w1, w12, asr #0x4 4B1B3FF4 neg w20, w27, lsl #0xf 4B564FF9 neg w25, w22, lsr #0x13 4B5C67F1 neg w17, w28, lsr #0x19 4B4307F4 neg w20, w3, lsr #0x1 4B8253E5 neg w5, w2, asr #0x14 4B0F6BFB neg w27, w15, lsl #0x1a 4B807FE3 neg w3, w0, asr #0x1f 4B492FEB neg w11, w9, lsr #0xb 4B125FF2 neg w18, w18, lsl #0x17 4B582FE5 neg w5, w24, lsr #0xb 4B1F07E4 neg w4, wzr, lsl #0x1 4B4F77FD neg w29, w15, lsr #0x1d 4B101FFD neg w29, w16, lsl #0x7 4B5A2BE0 neg w0, w26, lsr #0xa 4B123FE8 neg w8, w18, lsl #0xf // NEG_SUB_64_addsub_shift 11001011xx0xxxxxxxxxxx11111xxxxx CB9017FA neg x26, x16, asr #0x5 CB191FEC neg x12, x25, lsl #0x7 CB0C53F2 neg x18, x12, lsl #0x14 CB9E2FFC neg x28, x30, asr #0xb CB4493E3 neg x3, x4, lsr #0x24 CB49DBEA neg x10, x9, lsr #0x36 CB4C1FFE neg x30, x12, lsr #0x7 CB4F5FFC neg x28, x15, lsr #0x17 CB80C3F8 neg x24, x0, asr #0x30 CB1D03E6 neg x6, x29 CB1D97E5 neg x5, x29, lsl #0x25 CB5763EF neg x15, x23, lsr #0x18 CB8763F8 neg x24, x7, asr #0x18 CB06F7E7 neg x7, x6, lsl #0x3d CB5583F6 neg x22, x21, lsr #0x20 CB9ABFED neg x13, x26, asr #0x2f // NEG_asimdmisc_R 0x101110xx100000101110xxxxxxxxxx 2E20BB96 neg v22.8b, v28.8b 6E60B9F1 neg v17.8h, v15.8h 6E20BA67 neg v7.16b, v19.16b 6E20BBFA neg v26.16b, v31.16b 6E60B829 neg v9.8h, v1.8h 2E60BB9D neg v29.4h, v28.4h 2E20B8F6 neg v22.8b, v7.8b 6E20B9AF neg v15.16b, v13.16b 6E20BA7D neg v29.16b, v19.16b 6E20B96B neg v11.16b, v11.16b 6E20BB54 neg v20.16b, v26.16b 2E20B92C neg v12.8b, v9.8b 2E20BBFC neg v28.8b, v31.8b 2EA0B99D neg v29.2s, v12.2s 6E60BA87 neg v7.8h, v20.8h 2EA0BA7E neg v30.2s, v19.2s // NEG_asisdmisc_R 0111111011100000101110xxxxxxxxxx 7EE0B8F0 neg d16, d7 7EE0BA03 neg d3, d16 7EE0BB2B neg d11, d25 7EE0B94F neg d15, d10 7EE0BB01 neg d1, d24 7EE0BB21 neg d1, d25 7EE0BA7E neg d30, d19 7EE0B86B neg d11, d3 7EE0BAE4 neg d4, d23 7EE0BB06 neg d6, d24 7EE0BB40 neg d0, d26 7EE0B98A neg d10, d12 7EE0B9AE neg d14, d13 7EE0B95E neg d30, d10 7EE0BA50 neg d16, d18 7EE0BBF3 neg d19, d31 // NGCS_SBCS_32_addsub_carry 01111010000xxxxx00000011111xxxxx 7A1503F1 ngcs w17, w21 7A1203E2 ngcs w2, w18 7A1203FE ngcs w30, w18 7A0103F4 ngcs w20, w1 7A1703F5 ngcs w21, w23 7A0403F2 ngcs w18, w4 7A1503E2 ngcs w2, w21 7A0603F8 ngcs w24, w6 7A1603F6 ngcs w22, w22 7A0C03EE ngcs w14, w12 7A1D03FC ngcs w28, w29 7A1703F9 ngcs w25, w23 7A0D03FC ngcs w28, w13 7A0003F5 ngcs w21, w0 7A1603FA ngcs w26, w22 7A0D03E8 ngcs w8, w13 // NGCS_SBCS_64_addsub_carry 11111010000xxxxx00000011111xxxxx FA0703F1 ngcs x17, x7 FA1803F3 ngcs x19, x24 FA1D03E3 ngcs x3, x29 FA0D03FF ngcs xzr, x13 FA1003EA ngcs x10, x16 FA1803E5 ngcs x5, x24 FA0403EF ngcs x15, x4 FA0803E3 ngcs x3, x8 FA1403FE ngcs x30, x20 FA0F03E6 ngcs x6, x15 FA1903E2 ngcs x2, x25 FA1603FB ngcs x27, x22 FA1E03EF ngcs x15, x30 FA0403FA ngcs x26, x4 FA1003F3 ngcs x19, x16 FA0903EA ngcs x10, x9 // NGC_SBC_32_addsub_carry 01011010000xxxxx00000011111xxxxx 5A1603E8 ngc w8, w22 5A0003FE ngc w30, w0 5A0F03E9 ngc w9, w15 5A1503E9 ngc w9, w21 5A1B03F7 ngc w23, w27 5A0503F5 ngc w21, w5 5A1303E7 ngc w7, w19 5A1903E4 ngc w4, w25 5A1203E8 ngc w8, w18 5A0A03F8 ngc w24, w10 5A0E03E3 ngc w3, w14 5A0203E7 ngc w7, w2 5A0203FA ngc w26, w2 5A0803FC ngc w28, w8 5A1603F4 ngc w20, w22 5A0D03E6 ngc w6, w13 // NGC_SBC_64_addsub_carry 11011010000xxxxx00000011111xxxxx DA1B03E2 ngc x2, x27 DA1503F2 ngc x18, x21 DA0C03ED ngc x13, x12 DA1803E6 ngc x6, x24 DA1C03F9 ngc x25, x28 DA1803E1 ngc x1, x24 DA0F03F6 ngc x22, x15 DA1E03FA ngc x26, x30 DA1303FC ngc x28, x19 DA1003F6 ngc x22, x16 DA1903EE ngc x14, x25 DA1603E5 ngc x5, x22 DA0003F2 ngc x18, x0 DA0703EA ngc x10, x7 DA1303F0 ngc x16, x19 DA0203FD ngc x29, x2 // NOP_HI_hints 11010101000000110010000000xxxxxx D503201F nop // NOTS_eors_p_p_pp_z 001001010100xxxx01xxxx1xxxx0xxxx 25486284 nots p4.b, p8/z, p4.b 25486226 nots p6.b, p8/z, p1.b 254C7226 nots p6.b, p12/z, p1.b 254043E3 nots p3.b, p0/z, p15.b 254146A6 nots p6.b, p1/z, p5.b 254E7B6C nots p12.b, p14/z, p11.b 25424B86 nots p6.b, p2/z, p12.b 254B6E4A nots p10.b, p11/z, p2.b 25404341 nots p1.b, p0/z, p10.b 254A6B66 nots p6.b, p10/z, p11.b 25496682 nots p2.b, p9/z, p4.b 25434F6F nots p15.b, p3/z, p11.b 254D7722 nots p2.b, p13/z, p9.b 254C7264 nots p4.b, p12/z, p3.b 254D7685 nots p5.b, p13/z, p4.b 25465BAC nots p12.b, p6/z, p13.b // ORN_32_log_shift 00101010xx1xxxxx0xxxxxxxxxxxxxxx 2AA61521 orn w1, w9, w6, asr #0x5 2AB546BC orn w28, w21, w21, asr #0x11 2AE90F23 orn w3, w25, w9, ror #0x3 2AAC7018 orn w24, w0, w12, asr #0x1c 2A665E0F orn w15, w16, w6, lsr #0x17 2A707816 orn w22, w0, w16, lsr #0x1e 2A7A58F8 orn w24, w7, w26, lsr #0x16 2A7E6CC7 orn w7, w6, w30, lsr #0x1b 2A6A3AD5 orn w21, w22, w10, lsr #0xe 2A2E6748 orn w8, w26, w14, lsl #0x19 2AB9202D orn w13, w1, w25, asr #0x8 2AFF159B orn w27, w12, wzr, ror #0x5 2A61077D orn w29, w27, w1, lsr #0x1 2A792184 orn w4, w12, w25, lsr #0x8 2AA661E1 orn w1, w15, w6, asr #0x18 2A635CE0 orn w0, w7, w3, lsr #0x17 // ORN_64_log_shift 10101010xx1xxxxxxxxxxxxxxxxxxxxx AAEC661C orn x28, x16, x12, ror #0x19 AA7FC128 orn x8, x9, xzr, lsr #0x30 AA202EA2 orn x2, x21, x0, lsl #0xb AA24D4C3 orn x3, x6, x4, lsl #0x35 AA2EEA24 orn x4, x17, x14, lsl #0x3a AA3B4CAD orn x13, x5, x27, lsl #0x13 AA285AF2 orn x18, x23, x8, lsl #0x16 AA2F69C3 orn x3, x14, x15, lsl #0x1a AA25EF86 orn x6, x28, x5, lsl #0x3b AAE551DE orn x30, x14, x5, ror #0x14 AA32FFDA orn x26, x30, x18, lsl #0x3f AA286F82 orn x2, x28, x8, lsl #0x1b AA604386 orn x6, x28, x0, lsr #0x10 AA31CEA2 orn x2, x21, x17, lsl #0x33 AA391B26 orn x6, x25, x25, lsl #0x6 AAB1D0EA orn x10, x7, x17, asr #0x34 // ORN_asimdsame_only 0x001110111xxxxxxxxxxxxxxxxxxxxx 4EF61D8B orn v11.16b, v12.16b, v22.16b 0EF61C12 orn v18.8b, v0.8b, v22.8b 4EEF1F34 orn v20.16b, v25.16b, v15.16b 0EFE1FF5 orn v21.8b, v31.8b, v30.8b 0EFC1D1F orn v31.8b, v8.8b, v28.8b 4EFE1FB8 orn v24.16b, v29.16b, v30.16b 4EE01D5D orn v29.16b, v10.16b, v0.16b 0EFE1C69 orn v9.8b, v3.8b, v30.8b 4EEA1CE9 orn v9.16b, v7.16b, v10.16b 4EEF1E9D orn v29.16b, v20.16b, v15.16b 4EFE1C5F orn v31.16b, v2.16b, v30.16b 0EE61E79 orn v25.8b, v19.8b, v6.8b 4EF81D5E orn v30.16b, v10.16b, v24.16b 4EF01F22 orn v2.16b, v25.16b, v16.16b 0EE71CAD orn v13.8b, v5.8b, v7.8b 0EE21FA3 orn v3.8b, v29.8b, v2.8b // ORR_32_log_imm 0011001000xxxxxxxxxxxxxxxxxxxxxx 32240189 orr w9, w12, #0x10000000 3237C13A orr w26, w9, #0x2020202 3212B4BE orr w30, w5, #0xcfffcfff 323836BB orr w27, w21, #0x3fff00 32090EC7 orr w7, w22, #0x7800000 320759A1 orr w1, w13, #0xfe00ffff 3227C179 orr w25, w11, #0x2020202 322720D0 orr w16, w6, #0xfe000003 323828E2 orr w2, w7, #0x7ff00 323F7881 orr w1, w4, #0xfffffffe 3207741C orr w28, w0, #0xfe7fffff 320E146A orr w10, w3, #0xfc0000 322733DC orr w28, w30, #0xfe00003f 320CD055 orr w21, w2, #0xf1f1f1f1 323434F8 orr w24, w7, #0x3fff000 323E610B orr w11, w8, #0x7fffffc // ORR_32_log_shift 00101010xx0xxxxxxxxxxxxxxxxxxxxx 2A4C618D orr w13, w12, w12, lsr #0x18 2A840A67 orr w7, w19, w4, asr #0x2 2A834314 orr w20, w24, w3, asr #0x10 2A444777 orr w23, w27, w4, lsr #0x11 2AD66F22 orr w2, w25, w22, ror #0x1b 2A1146EE orr w14, w23, w17, lsl #0x11 2A926172 orr w18, w11, w18, asr #0x18 2A495D8A orr w10, w12, w9, lsr #0x17 2A0968D7 orr w23, w6, w9, lsl #0x1a 2A504FBA orr w26, w29, w16, lsr #0x13 2AD225E2 orr w2, w15, w18, ror #0x9 2A9A53C0 orr w0, w30, w26, asr #0x14 2A1A5612 orr w18, w16, w26, lsl #0x15 2A9140BF orr wzr, w5, w17, asr #0x10 2AD217A2 orr w2, w29, w18, ror #0x5 2AC837B4 orr w20, w29, w8, ror #0xd // ORR_64_log_imm 101100100xxxxxxxxxxxxxxxxxxxxxxx B23F9E0F orr x15, x16, #0x1fe01fe01fe01fe B279F382 orr x2, x28, #0xffffffffffffff8f B2061DFC orr x28, x15, #0xfc000003fc000003 B215724A orr x10, x18, #0xfffff8fffffff8ff B2450C9A orr x26, x4, #0x7800000000000000 B20409A6 orr x6, x13, #0x7000000070000000 B2715896 orr x22, x4, #0x3fffff8000 B26D2053 orr x19, x2, #0xff80000 B239BB1E orr x30, x24, #0xffbfffbfffbfffbf B22D7679 orr x25, x19, #0xfff9fffffff9ffff B2085ABA orr x26, x21, #0xff007fffff007fff B25C758B orr x11, x12, #0xfffffff000000003 B273AEE1 orr x1, x23, #0x1ffffffffffe000 B26EF80F orr x15, x0, #0xfffffffffffdffff B25C92E9 orr x9, x23, #0xfffffff0000001ff B26AFB8B orr x11, x28, #0xffffffffffdfffff // ORR_64_log_shift 10101010xx0xxxxxxxxxxxxxxxxxxxxx AA9B9788 orr x8, x28, x27, asr #0x25 AA950D25 orr x5, x9, x21, asr #0x3 AA5644D7 orr x23, x6, x22, lsr #0x11 AA12435D orr x29, x26, x18, lsl #0x10 AA802794 orr x20, x28, x0, asr #0x9 AADB2D40 orr x0, x10, x27, ror #0xb AA5A3018 orr x24, x0, x26, lsr #0xc AA155A42 orr x2, x18, x21, lsl #0x16 AA09DEDB orr x27, x22, x9, lsl #0x37 AA95019A orr x26, x12, x21, asr #0x0 AAC6D9B8 orr x24, x13, x6, ror #0x36 AA862096 orr x22, x4, x6, asr #0x8 AAD93B32 orr x18, x25, x25, ror #0xe AA87DFC4 orr x4, x30, x7, asr #0x37 AACAA00F orr x15, x0, x10, ror #0x28 AADF5572 orr x18, x11, xzr, ror #0x15 // ORR_asimdimm_L_hl 0x00111100000xxx10x1xxxxxxxxxxxx 0F04B676 orr v22.4h, #0x93, lsl #0x8 0F059527 orr v7.4h, #0xa9 4F0494CA orr v10.8h, #0x86 4F0496CD orr v13.8h, #0x96 0F0694C6 orr v6.4h, #0xc6 4F019501 orr v1.8h, #0x28 0F01B7BF orr v31.4h, #0x3d, lsl #0x8 0F06B504 orr v4.4h, #0xc8, lsl #0x8 0F03B4D7 orr v23.4h, #0x66, lsl #0x8 0F06B5E0 orr v0.4h, #0xcf, lsl #0x8 4F019432 orr v18.8h, #0x21 0F0497A6 orr v6.4h, #0x9d 4F029639 orr v25.8h, #0x51 0F06B4D7 orr v23.4h, #0xc6, lsl #0x8 0F00B6D8 orr v24.4h, #0x16, lsl #0x8 4F0494B6 orr v22.8h, #0x85 // ORR_asimdimm_L_sl 0x00111100000xxx0xx1xxxxxxxxxxxx 0F0457DD orr v29.2s, #0x9e, lsl #0x10 4F00150A orr v10.4s, #0x8 0F025726 orr v6.2s, #0x59, lsl #0x10 0F06355F orr v31.2s, #0xca, lsl #0x8 4F027734 orr v20.4s, #0x59, lsl #0x18 0F027657 orr v23.2s, #0x52, lsl #0x18 0F057657 orr v23.2s, #0xb2, lsl #0x18 4F055705 orr v5.4s, #0xb8, lsl #0x10 0F0215FD orr v29.2s, #0x4f 4F071632 orr v18.4s, #0xf1 4F0414CB orr v11.4s, #0x86 4F0677A5 orr v5.4s, #0xdd, lsl #0x18 4F06353C orr v28.4s, #0xc9, lsl #0x8 0F001599 orr v25.2s, #0xc 0F0335E6 orr v6.2s, #0x6f, lsl #0x8 4F0737AA orr v10.4s, #0xfd, lsl #0x8 // ORR_asimdsame_only 0x001110101xxxxx000111xxxxxxxxxx 4EAC1CC9 orr v9.16b, v6.16b, v12.16b 0EB51C0C orr v12.8b, v0.8b, v21.8b 0EBF1E0E orr v14.8b, v16.8b, v31.8b 4EB01CB4 orr v20.16b, v5.16b, v16.16b 0EA01D15 orr v21.8b, v8.8b, v0.8b 0EAF1F67 orr v7.8b, v27.8b, v15.8b 0EB31FF6 orr v22.8b, v31.8b, v19.8b 4EB01F21 orr v1.16b, v25.16b, v16.16b 0EBD1F4B orr v11.8b, v26.8b, v29.8b 0EB21C99 orr v25.8b, v4.8b, v18.8b 4EA41D52 orr v18.16b, v10.16b, v4.16b 4EA41E1B orr v27.16b, v16.16b, v4.16b 0EB21CB1 orr v17.8b, v5.8b, v18.8b 0EA91F15 orr v21.8b, v24.8b, v9.8b 4EBB1D68 orr v8.16b, v11.16b, v27.16b 0EBC1EE3 orr v3.8b, v23.8b, v28.8b // PACDA_64P_dp_1src 1101101011000001000010xxxxxxxxxx DAC108E4 pacda x4, x7 DAC108B3 pacda x19, x5 DAC109AD pacda x13, x13 DAC10B83 pacda x3, x28 DAC109A0 pacda x0, x13 DAC10806 pacda x6, x0 DAC108A3 pacda x3, x5 DAC1082A pacda x10, x1 DAC10B4A pacda x10, x26 DAC10A4A pacda x10, x18 DAC109B8 pacda x24, x13 DAC10821 pacda x1, x1 DAC10BF3 pacda x19, sp DAC10B36 pacda x22, x25 DAC109C2 pacda x2, x14 DAC10B7C pacda x28, x27 // PACDB_64P_dp_1src 1101101011000001000011xxxxxxxxxx DAC10F47 pacdb x7, x26 DAC10E90 pacdb x16, x20 DAC10E5D pacdb x29, x18 DAC10D13 pacdb x19, x8 DAC10CD3 pacdb x19, x6 DAC10F6B pacdb x11, x27 DAC10F4B pacdb x11, x26 DAC10C15 pacdb x21, x0 DAC10CC5 pacdb x5, x6 DAC10D18 pacdb x24, x8 DAC10FDD pacdb x29, x30 DAC10F23 pacdb x3, x25 DAC10FC2 pacdb x2, x30 DAC10D8C pacdb x12, x12 DAC10DDE pacdb x30, x14 DAC10E34 pacdb x20, x17 // PACDZA_64Z_dp_1src 110110101100000100101xxxxxxxxxxx DAC12BF4 pacdza x20 DAC12BE9 pacdza x9 DAC12BFB pacdza x27 DAC12BED pacdza x13 DAC12BFA pacdza x26 DAC12BF8 pacdza x24 DAC12BEE pacdza x14 DAC12BF2 pacdza x18 DAC12BF9 pacdza x25 DAC12BF3 pacdza x19 DAC12BEC pacdza x12 DAC12BF7 pacdza x23 DAC12BF1 pacdza x17 DAC12BEF pacdza x15 DAC12BF5 pacdza x21 DAC12BFD pacdza x29 // PACDZB_64Z_dp_1src 1101101011000001001xxxxxxxxxxxxx DAC12FEE pacdzb x14 DAC12FED pacdzb x13 DAC12FF0 pacdzb x16 DAC12FE4 pacdzb x4 DAC12FE3 pacdzb x3 DAC12FF3 pacdzb x19 DAC12FE6 pacdzb x6 DAC12FFC pacdzb x28 DAC12FE5 pacdzb x5 DAC12FEB pacdzb x11 DAC12FEC pacdzb x12 DAC12FE7 pacdzb x7 DAC12FF5 pacdzb x21 DAC12FFB pacdzb x27 DAC12FEA pacdzb x10 DAC12FEF pacdzb x15 // PACGA_64P_dp_2src 10011010110xxxxx001100xxxxxxxxxx 9AD03137 pacga x23, x9, x16 9AD932A6 pacga x6, x21, x25 9AC730D5 pacga x21, x6, x7 9ACE335A pacga x26, x26, x14 9ADA3227 pacga x7, x17, x26 9ACB30C1 pacga x1, x6, x11 9ADC3153 pacga x19, x10, x28 9AC63371 pacga x17, x27, x6 9ADF30EE pacga x14, x7, sp 9ADE33D2 pacga x18, x30, x30 9ADC332A pacga x10, x25, x28 9ACB31C2 pacga x2, x14, x11 9ACA3314 pacga x20, x24, x10 9ACE3301 pacga x1, x24, x14 9ACB31EC pacga x12, x15, x11 9AD6325B pacga x27, x18, x22 // PACIA1716_HI_hints 1101010100000011001000010xxxxxxx D503211F pacia1716 // PACIASP_HI_hints 1101010100000011001000110xxxxxxx D503233F paciasp // PACIAZ_HI_hints 11010101000000110010001100xxxxxx D503231F paciaz // PACIA_64P_dp_1src 1101101011000001000000xxxxxxxxxx DAC1030C pacia x12, x24 DAC1033D pacia x29, x25 DAC10113 pacia x19, x8 DAC10323 pacia x3, x25 DAC10365 pacia x5, x27 DAC10079 pacia x25, x3 DAC10228 pacia x8, x17 DAC101AB pacia x11, x13 DAC102E9 pacia x9, x23 DAC1035F pacia xzr, x26 DAC1034D pacia x13, x26 DAC10271 pacia x17, x19 DAC1029B pacia x27, x20 DAC10385 pacia x5, x28 DAC102DA pacia x26, x22 DAC102FB pacia x27, x23 // PACIB1716_HI_hints 110101010000001100100001xxxxxxxx D503215F pacib1716 // PACIBSP_HI_hints 110101010000001100100011xxxxxxxx D503237F pacibsp // PACIBZ_HI_hints 11010101000000110010001101xxxxxx D503235F pacibz // PACIB_64P_dp_1src 1101101011000001000001xxxxxxxxxx DAC107F5 pacib x21, sp DAC1046F pacib x15, x3 DAC10400 pacib x0, x0 DAC10689 pacib x9, x20 DAC10686 pacib x6, x20 DAC105DC pacib x28, x14 DAC1046B pacib x11, x3 DAC10763 pacib x3, x27 DAC1076E pacib x14, x27 DAC10454 pacib x20, x2 DAC107A3 pacib x3, x29 DAC10714 pacib x20, x24 DAC106FC pacib x28, x23 DAC10550 pacib x16, x10 DAC10571 pacib x17, x11 DAC10630 pacib x16, x17 // PACIZA_64Z_dp_1src 110110101100000100100xxxxxxxxxxx DAC123ED paciza x13 DAC123F4 paciza x20 DAC123FB paciza x27 DAC123E7 paciza x7 DAC123E0 paciza x0 DAC123EA paciza x10 DAC123FE paciza x30 DAC123E5 paciza x5 DAC123E1 paciza x1 DAC123F9 paciza x25 DAC123F8 paciza x24 DAC123FD paciza x29 DAC123FA paciza x26 DAC123E8 paciza x8 DAC123F5 paciza x21 DAC123F3 paciza x19 // PACIZB_64Z_dp_1src 11011010110000010010xxxxxxxxxxxx DAC127EE pacizb x14 DAC127FA pacizb x26 DAC127E4 pacizb x4 DAC127E8 pacizb x8 DAC127F3 pacizb x19 DAC127E5 pacizb x5 DAC127F5 pacizb x21 DAC127EB pacizb x11 DAC127E3 pacizb x3 DAC127F8 pacizb x24 DAC127F6 pacizb x22 DAC127FC pacizb x28 DAC127E1 pacizb x1 DAC127F1 pacizb x17 DAC127FE pacizb x30 DAC127FB pacizb x27 // PMULL_asimddiff_L 0x001110xx1xxxxx111000xxxxxxxxxx 0EF0E2AA pmull v10.1q, v21.1d, v16.1d 0EFBE08C pmull v12.1q, v4.1d, v27.1d 0E3EE178 pmull v24.8h, v11.8b, v30.8b 4EF0E0A4 pmull2 v4.1q, v5.2d, v16.2d 4E25E028 pmull2 v8.8h, v1.16b, v5.16b 4E23E16B pmull2 v11.8h, v11.16b, v3.16b 4E38E345 pmull2 v5.8h, v26.16b, v24.16b 0EE5E0DA pmull v26.1q, v6.1d, v5.1d 0E3BE199 pmull v25.8h, v12.8b, v27.8b 4E3EE1C0 pmull2 v0.8h, v14.16b, v30.16b 4EE9E1A0 pmull2 v0.1q, v13.2d, v9.2d 0EE5E070 pmull v16.1q, v3.1d, v5.1d 4EF8E3EF pmull2 v15.1q, v31.2d, v24.2d 0EF8E187 pmull v7.1q, v12.1d, v24.1d 0EEBE054 pmull v20.1q, v2.1d, v11.1d 0EEAE1F6 pmull v22.1q, v15.1d, v10.1d // PMUL_asimdsame_only 0x101110001xxxxx100111xxxxxxxxxx 6E259CF6 pmul v22.16b, v7.16b, v5.16b 6E399E9C pmul v28.16b, v20.16b, v25.16b 2E239C6D pmul v13.8b, v3.8b, v3.8b 6E389C05 pmul v5.16b, v0.16b, v24.16b 2E229D1F pmul v31.8b, v8.8b, v2.8b 2E3A9F94 pmul v20.8b, v28.8b, v26.8b 2E229E4E pmul v14.8b, v18.8b, v2.8b 6E339CC8 pmul v8.16b, v6.16b, v19.16b 2E319EAC pmul v12.8b, v21.8b, v17.8b 6E369E2F pmul v15.16b, v17.16b, v22.16b 2E399F1C pmul v28.8b, v24.8b, v25.8b 2E319DD7 pmul v23.8b, v14.8b, v17.8b 2E3D9F9F pmul v31.8b, v28.8b, v29.8b 2E259C95 pmul v21.8b, v4.8b, v5.8b 2E259D89 pmul v9.8b, v12.8b, v5.8b 2E299F5D pmul v29.8b, v26.8b, v9.8b // PRFM_P_ldst_pos 1111100110xxxxxxxxxxxxxxxxxxxxxx F9818708 prfm plil1keep, [x24, #0x308] F9941733 prfm pstl2strm, [x25, #0x2828] F98BE8C2 prfm pldl2keep, [x6, #0x17d0] F98DBAAA prfm plil2keep, [x21, #0x1b70] F9B6BBAE prfm #0xe, [x29, #0x6d70] F9A27420 prfm pldl1keep, [x1, #0x44e8] F9A2FE39 prfm #0x19, [x17, #0x45f8] F985CD9D prfm #0x1d, [x12, #0xb98] F9A2F2F7 prfm #0x17, [x23, #0x45e0] F9804A66 prfm #0x6, [x19, #0x90] F9939F5A prfm #0x1a, [x26, #0x2738] F9A52371 prfm pstl1strm, [x27, #0x4a40] F990F212 prfm pstl2keep, [x16, #0x21e0] F9B8F1F1 prfm pstl1strm, [x15, #0x71e0] F9B053D2 prfm pstl2keep, [x30, #0x60a0] F9972ED8 prfm #0x18, [x22, #0x2e58] // PRFM_P_ldst_regoff 11111000101xxxxxx1xx10xxxxxxxxxx F8BAF952 prfm pstl2keep, [x10, x26, sxtx #0x3] F8A4E9A5 prfm pldl3strm, [x13, x4, sxtx] F8A96831 prfm pstl1strm, [x1, x9] F8BA6902 prfm pldl2keep, [x8, x26] F8A9C828 prfm plil1keep, [x1, w9, sxtw] F8B0FA81 prfm pldl1strm, [x20, x16, sxtx #0x3] F8B64BBA prfm #0x1a, [x29, w22, uxtw] F8A3CBCC prfm plil3keep, [x30, w3, sxtw] F8BF5943 prfm pldl2strm, [x10, wzr, uxtw #0x3] F8A4F9E2 prfm pldl2keep, [x15, x4, sxtx #0x3] F8B9E97A prfm #0x1a, [x11, x25, sxtx] F8A77B0F prfm #0xf, [x24, x7, lsl #0x3] F8A85A55 prfm pstl3strm, [x18, w8, uxtw #0x3] F8A35926 prfm #0x6, [x9, w3, uxtw #0x3] F8A8EBB4 prfm pstl3keep, [x29, x8, sxtx] F8AC58F8 prfm #0x18, [x7, w12, uxtw #0x3] // PRFM_P_loadlit 11011000xxxxxxxxxxxxxxxxxxxxxxxx D818298B prfm plil2strm, 0x8000000000030534 D80580C5 prfm pldl3strm, 0x800000000000b01c D88F66DA prfm #0x1a, 0x7ffffffffff1ecdc D873CB9B prfm #0x1b, 0x80000000000e7974 D84F3B40 prfm pldl1keep, 0x800000000009e76c D80D33C1 prfm pldl1strm, 0x800000000001a67c D8306D2C prfm plil3keep, 0x8000000000060da8 D8B8E013 prfm pstl2strm, 0x7ffffffffff71c04 D8A56E4F prfm #0xf, 0x7ffffffffff4adcc D8536B2C prfm plil3keep, 0x80000000000a6d68 D897906B prfm plil2strm, 0x7ffffffffff2f210 D87DE859 prfm #0x19, 0x80000000000fbd0c D8C22313 prfm pstl2strm, 0x7ffffffffff84464 D887C699 prfm #0x19, 0x7ffffffffff0f8d4 D84C7BCA prfm plil2keep, 0x8000000000098f7c D8CA8E60 prfm pldl1keep, 0x7ffffffffff951d0 // PRFUM_P_ldst_unscaled 11111000100xxxxxxxxx00xxxxxxxxxx F89961AF prfum #0xf, [x13, #-0x6a] F888A083 prfum pldl2strm, [x4, #0x8a] F896A244 prfum pldl3keep, [x18, #-0x96] F892B135 prfum pstl3strm, [x9, #-0xd5] F895C264 prfum pldl3keep, [x19, #-0xa4] F883B01E prfum #0x1e, [x0, #0x3b] F88AC098 prfum #0x18, [x4, #0xac] F884431A prfum #0x1a, [x24, #0x44] F88B3206 prfum #0x6, [x16, #0xb3] F89B00EE prfum #0xe, [x7, #-0x50] F89940F3 prfum pstl2strm, [x7, #-0x6c] F891C0B8 prfum #0x18, [x5, #-0xe4] F89C006A prfum plil2keep, [x3, #-0x40] F89BC063 prfum pldl2strm, [x3, #-0x44] F8953385 prfum pldl3strm, [x28, #-0xad] F89BB15A prfum #0x1a, [x10, #-0x45] // PSB_HC_hints 1101010100000011001000100xxxxxxx D503223F psb csync // PSSBB_only_barriers 11010101000000110011010010xxxxxx D503349F pssbb // RADDHN_asimddiff_N 0x101110xx1xxxxx010000xxxxxxxxxx 6E2D40F4 raddhn2 v20.16b, v7.8h, v13.8h 6E3A40C9 raddhn2 v9.16b, v6.8h, v26.8h 2EB3415C raddhn v28.2s, v10.2d, v19.2d 6E274356 raddhn2 v22.16b, v26.8h, v7.8h 6E2D40A4 raddhn2 v4.16b, v5.8h, v13.8h 2E6E408E raddhn v14.4h, v4.4s, v14.4s 6E784154 raddhn2 v20.8h, v10.4s, v24.4s 6EB340A2 raddhn2 v2.4s, v5.2d, v19.2d 6E394070 raddhn2 v16.16b, v3.8h, v25.8h 6EA540EC raddhn2 v12.4s, v7.2d, v5.2d 2E3C4156 raddhn v22.8b, v10.8h, v28.8h 2E324028 raddhn v8.8b, v1.8h, v18.8h 2E38403B raddhn v27.8b, v1.8h, v24.8h 2E6B439F raddhn v31.4h, v28.4s, v11.4s 6EAA40A6 raddhn2 v6.4s, v5.2d, v10.2d 2E3E4090 raddhn v16.8b, v4.8h, v30.8h // RAX1_VVV2_cryptosha512_3 11001110011xxxxx10xxxxxxxxxxxxxx CE648DF2 rax1 v18.2d, v15.2d, v4.2d CE768E32 rax1 v18.2d, v17.2d, v22.2d CE7A8F4D rax1 v13.2d, v26.2d, v26.2d CE668EDC rax1 v28.2d, v22.2d, v6.2d CE678CCC rax1 v12.2d, v6.2d, v7.2d CE7D8EA4 rax1 v4.2d, v21.2d, v29.2d CE7A8CFB rax1 v27.2d, v7.2d, v26.2d CE788F8B rax1 v11.2d, v28.2d, v24.2d CE628DF2 rax1 v18.2d, v15.2d, v2.2d CE628C7E rax1 v30.2d, v3.2d, v2.2d CE718E75 rax1 v21.2d, v19.2d, v17.2d CE628C3F rax1 v31.2d, v1.2d, v2.2d CE6D8D90 rax1 v16.2d, v12.2d, v13.2d CE788F7C rax1 v28.2d, v27.2d, v24.2d CE658C45 rax1 v5.2d, v2.2d, v5.2d CE668F6D rax1 v13.2d, v27.2d, v6.2d // RBIT_32_dp_1src 0101101011000000000000xxxxxxxxxx 5AC0038E rbit w14, w28 5AC001F4 rbit w20, w15 5AC00069 rbit w9, w3 5AC00044 rbit w4, w2 5AC00394 rbit w20, w28 5AC002F4 rbit w20, w23 5AC003CE rbit w14, w30 5AC000D3 rbit w19, w6 5AC00230 rbit w16, w17 5AC00102 rbit w2, w8 5AC00291 rbit w17, w20 5AC000B8 rbit w24, w5 5AC0026C rbit w12, w19 5AC0020B rbit w11, w16 5AC003D6 rbit w22, w30 5AC000F2 rbit w18, w7 // RBIT_64_dp_1src 1101101011000000000000xxxxxxxxxx DAC0023A rbit x26, x17 DAC002DF rbit xzr, x22 DAC000CB rbit x11, x6 DAC00024 rbit x4, x1 DAC00328 rbit x8, x25 DAC001DE rbit x30, x14 DAC0030A rbit x10, x24 DAC001A2 rbit x2, x13 DAC003C8 rbit x8, x30 DAC00348 rbit x8, x26 DAC001E0 rbit x0, x15 DAC000A7 rbit x7, x5 DAC00001 rbit x1, x0 DAC000AB rbit x11, x5 DAC001E7 rbit x7, x15 DAC00006 rbit x6, x0 // RBIT_asimdmisc_R 0x10111001100000010110xxxxxxxxxx 6E605938 rbit v24.16b, v9.16b 2E605AB4 rbit v20.8b, v21.8b 2E605AAC rbit v12.8b, v21.8b 2E60593F rbit v31.8b, v9.8b 2E605A63 rbit v3.8b, v19.8b 6E605965 rbit v5.16b, v11.16b 6E605BE3 rbit v3.16b, v31.16b 2E605B18 rbit v24.8b, v24.8b 2E6059D9 rbit v25.8b, v14.8b 6E605A54 rbit v20.16b, v18.16b 6E60594F rbit v15.16b, v10.16b 6E605B8E rbit v14.16b, v28.16b 2E605A40 rbit v0.8b, v18.8b 6E605B77 rbit v23.16b, v27.16b 6E60598F rbit v15.16b, v12.16b 6E605892 rbit v18.16b, v4.16b // RETAA_64E_branch_reg 11010110010111110000101111111111 D65F0BFF retaa // RETAB_64E_branch_reg 11010110010111110000111111111111 D65F0FFF retab // RET_64R_branch_reg 1101011001011111000000xxxxx00000 D65F0220 ret x17 D65F0120 ret x9 D65F03A0 ret x29 D65F01C0 ret x14 D65F0180 ret x12 D65F03C0 ret D65F02C0 ret x22 D65F0080 ret x4 D65F0200 ret x16 D65F0040 ret x2 D65F0160 ret x11 D65F01E0 ret x15 D65F0340 ret x26 D65F0260 ret x19 D65F0240 ret x18 D65F00E0 ret x7 // REV16_32_dp_1src 0101101011000000000001xxxxxxxxxx 5AC00459 rev16 w25, w2 5AC004D9 rev16 w25, w6 5AC004C0 rev16 w0, w6 5AC00774 rev16 w20, w27 5AC00463 rev16 w3, w3 5AC00530 rev16 w16, w9 5AC00507 rev16 w7, w8 5AC0055E rev16 w30, w10 5AC00589 rev16 w9, w12 5AC00590 rev16 w16, w12 5AC006C5 rev16 w5, w22 5AC00584 rev16 w4, w12 5AC004B8 rev16 w24, w5 5AC00504 rev16 w4, w8 5AC00518 rev16 w24, w8 5AC00664 rev16 w4, w19 // REV16_64_dp_1src 1101101011000000000001xxxxxxxxxx DAC00477 rev16 x23, x3 DAC0062E rev16 x14, x17 DAC0046E rev16 x14, x3 DAC00578 rev16 x24, x11 DAC005D2 rev16 x18, x14 DAC00507 rev16 x7, x8 DAC0070C rev16 x12, x24 DAC004D1 rev16 x17, x6 DAC007C6 rev16 x6, x30 DAC00515 rev16 x21, x8 DAC00502 rev16 x2, x8 DAC0078A rev16 x10, x28 DAC00490 rev16 x16, x4 DAC0048C rev16 x12, x4 DAC00485 rev16 x5, x4 DAC005AA rev16 x10, x13 // REV16_asimdmisc_R 0x00111000100000000110xxxxxxxxxx 4E201B48 rev16 v8.16b, v26.16b 0E201B64 rev16 v4.8b, v27.8b 0E2018C6 rev16 v6.8b, v6.8b 0E201A68 rev16 v8.8b, v19.8b 0E201917 rev16 v23.8b, v8.8b 4E201B8B rev16 v11.16b, v28.16b 4E2018FC rev16 v28.16b, v7.16b 4E201B51 rev16 v17.16b, v26.16b 4E201868 rev16 v8.16b, v3.16b 0E2019D2 rev16 v18.8b, v14.8b 4E201B71 rev16 v17.16b, v27.16b 0E201B4B rev16 v11.8b, v26.8b 4E20191C rev16 v28.16b, v8.16b 0E201919 rev16 v25.8b, v8.8b 0E201BC5 rev16 v5.8b, v30.8b 4E201824 rev16 v4.16b, v1.16b // REV32_64_dp_1src 1101101011000000000010xxxxxxxxxx DAC0091D rev32 x29, x8 DAC00B52 rev32 x18, x26 DAC00848 rev32 x8, x2 DAC00991 rev32 x17, x12 DAC00934 rev32 x20, x9 DAC00967 rev32 x7, x11 DAC00A26 rev32 x6, x17 DAC008B5 rev32 x21, x5 DAC00B2F rev32 x15, x25 DAC00B04 rev32 x4, x24 DAC00ACA rev32 x10, x22 DAC008E8 rev32 x8, x7 DAC008D8 rev32 x24, x6 DAC00A2E rev32 x14, x17 DAC00BAB rev32 x11, x29 DAC00AF0 rev32 x16, x23 // REV32_asimdmisc_R 0x1011100x100000000010xxxxxxxxxx 2E6009F2 rev32 v18.4h, v15.4h 6E600B54 rev32 v20.8h, v26.8h 6E200A8B rev32 v11.16b, v20.16b 2E20086B rev32 v11.8b, v3.8b 2E200985 rev32 v5.8b, v12.8b 2E600810 rev32 v16.4h, v0.4h 6E600AA8 rev32 v8.8h, v21.8h 6E6009D7 rev32 v23.8h, v14.8h 2E60086F rev32 v15.4h, v3.4h 6E2009C3 rev32 v3.16b, v14.16b 6E600981 rev32 v1.8h, v12.8h 6E600ABE rev32 v30.8h, v21.8h 2E600A4D rev32 v13.4h, v18.4h 2E600AEC rev32 v12.4h, v23.4h 6E200934 rev32 v20.16b, v9.16b 6E6008BF rev32 v31.8h, v5.8h // REV64_asimdmisc_R 0x001110xx100000000010xxxxxxxxxx 0EA00B49 rev64 v9.2s, v26.2s 4E200A51 rev64 v17.16b, v18.16b 0E600812 rev64 v18.4h, v0.4h 4E600819 rev64 v25.8h, v0.8h 0EA00BAB rev64 v11.2s, v29.2s 4E60093B rev64 v27.8h, v9.8h 0EA00820 rev64 v0.2s, v1.2s 0E2008FE rev64 v30.8b, v7.8b 4EA00BCB rev64 v11.4s, v30.4s 0E200B2D rev64 v13.8b, v25.8b 4E600A0C rev64 v12.8h, v16.8h 4E600BC6 rev64 v6.8h, v30.8h 4EA008E6 rev64 v6.4s, v7.4s 0E200AFD rev64 v29.8b, v23.8b 0E20080D rev64 v13.8b, v0.8b 4E200A22 rev64 v2.16b, v17.16b // REV_32_dp_1src 0101101011000000000010xxxxxxxxxx 5AC00AAE rev w14, w21 5AC00877 rev w23, w3 5AC0080D rev w13, w0 5AC00BD3 rev w19, w30 5AC00A4A rev w10, w18 5AC00B86 rev w6, w28 5AC0087F rev wzr, w3 5AC00BC8 rev w8, w30 5AC008EA rev w10, w7 5AC008CE rev w14, w6 5AC00A9D rev w29, w20 5AC00B89 rev w9, w28 5AC00991 rev w17, w12 5AC00A15 rev w21, w16 5AC00955 rev w21, w10 5AC0083F rev wzr, w1 // REV_64_dp_1src 1101101011000000000011xxxxxxxxxx DAC00CCB rev x11, x6 DAC00C12 rev x18, x0 DAC00C65 rev x5, x3 DAC00FAA rev x10, x29 DAC00E2B rev x11, x17 DAC00CAB rev x11, x5 DAC00E6B rev x11, x19 DAC00DAC rev x12, x13 DAC00D91 rev x17, x12 DAC00F39 rev x25, x25 DAC00D95 rev x21, x12 DAC00CC5 rev x5, x6 DAC00F48 rev x8, x26 DAC00F2F rev x15, x25 DAC00D2F rev x15, x9 DAC00DD2 rev x18, x14 // RMIF_only_rmif 10111010000xxxxxx00001xxxxx0xxxx BA1785C9 rmif x14, #0x2f, #0x9 BA09050F rmif x8, #0x12, #0xf BA02042E rmif x1, #0x4, #0xe BA000589 rmif x12, #0x0, #0x9 BA000745 rmif x26, #0x0, #0x5 BA1E040B rmif x0, #0x3c, #0xb BA0D05C3 rmif x14, #0x1a, #0x3 BA1F842D rmif x1, #0x3f, #0xd BA0B05CF rmif x14, #0x16, #0xf BA0985CC rmif x14, #0x13, #0xc BA110743 rmif x26, #0x22, #0x3 BA0F8622 rmif x17, #0x1f, #0x2 BA0A84CA rmif x6, #0x15, #0xa BA0B8566 rmif x11, #0x17, #0x6 BA0D0549 rmif x10, #0x1a, #0x9 BA1A0502 rmif x8, #0x34, #0x2 // ROR_EXTR_32_extract 00010011100xxxxx0xxxxxxxxxxxxxxx 138D59BD ror w29, w13, #0x16 13957EA2 ror w2, w21, #0x1f 13917236 ror w22, w17, #0x1c 138E69D3 ror w19, w14, #0x1a 138660CF ror w15, w6, #0x18 13831468 ror w8, w3, #0x5 13880D08 ror w8, w8, #0x3 138600C9 ror w9, w6, #0x0 13843C9F ror wzr, w4, #0xf 139E07CF ror w15, w30, #0x1 1380281D ror w29, w0, #0xa 138768FF ror wzr, w7, #0x1a 138C2D8D ror w13, w12, #0xb 13813C3D ror w29, w1, #0xf 1394528D ror w13, w20, #0x14 139F33F9 ror w25, wzr, #0xc // ROR_EXTR_64_extract 10010011110xxxxxxxxxxxxxxxxxxxxx 93C7F4EA ror x10, x7, #0x3d 93DA7F47 ror x7, x26, #0x1f 93D0160A ror x10, x16, #0x5 93D5EAA8 ror x8, x21, #0x3a 93CA715F ror xzr, x10, #0x1c 93D19629 ror x9, x17, #0x25 93D64AC4 ror x4, x22, #0x12 93D46A81 ror x1, x20, #0x1a 93D33669 ror x9, x19, #0xd 93D0A203 ror x3, x16, #0x28 93DBC378 ror x24, x27, #0x30 93CDC5B6 ror x22, x13, #0x31 93CE45D1 ror x17, x14, #0x11 93CFA9FC ror x28, x15, #0x2a 93CFC9FC ror x28, x15, #0x32 93D776E7 ror x7, x23, #0x1d // ROR_RORV_32_dp_2src 00011010110xxxxx001011xxxxxxxxxx 1AD82F76 ror w22, w27, w24 1AC72C00 ror w0, w0, w7 1ACC2CDA ror w26, w6, w12 1AD72FE3 ror w3, wzr, w23 1ACC2C9B ror w27, w4, w12 1ADE2E86 ror w6, w20, w30 1AC72EFC ror w28, w23, w7 1ADB2D40 ror w0, w10, w27 1AD92F75 ror w21, w27, w25 1AD12C73 ror w19, w3, w17 1AC32DFB ror w27, w15, w3 1AD92CCC ror w12, w6, w25 1AD72F0D ror w13, w24, w23 1AD82D29 ror w9, w9, w24 1ADE2DEB ror w11, w15, w30 1AC52C8D ror w13, w4, w5 // ROR_RORV_64_dp_2src 10011010110xxxxx001011xxxxxxxxxx 9ADB2CD5 ror x21, x6, x27 9ACF2DCE ror x14, x14, x15 9ACC2D79 ror x25, x11, x12 9AD32D3B ror x27, x9, x19 9AC92D5D ror x29, x10, x9 9ADC2E76 ror x22, x19, x28 9AC02D5D ror x29, x10, x0 9ACD2C13 ror x19, x0, x13 9ACF2C42 ror x2, x2, x15 9AC82C6A ror x10, x3, x8 9AC22EDB ror x27, x22, x2 9ACB2F9A ror x26, x28, x11 9AD52C0F ror x15, x0, x21 9AD82DF6 ror x22, x15, x24 9ACA2D83 ror x3, x12, x10 9AD92D64 ror x4, x11, x25 // RSHRN_asimdshf_N 0x00111100xxxxxx100011xxxxxxxxxx 0F0B8D68 rshrn v8.8b, v11.8h, #0x5 4F1A8F8A rshrn2 v10.8h, v28.4s, #0x6 4F1E8D8D rshrn2 v13.8h, v12.4s, #0x2 4F2E8FBB rshrn2 v27.4s, v29.2d, #0x12 4F3D8F38 rshrn2 v24.4s, v25.2d, #0x3 4F3A8D2D rshrn2 v13.4s, v9.2d, #0x6 0F2D8EAC rshrn v12.2s, v21.2d, #0x13 4F118F6E rshrn2 v14.8h, v27.4s, #0xf 0F0A8E06 rshrn v6.8b, v16.8h, #0x6 4F2A8CFF rshrn2 v31.4s, v7.2d, #0x16 4F0B8F0B rshrn2 v11.16b, v24.8h, #0x5 0F318EAD rshrn v13.2s, v21.2d, #0xf 4F3F8C72 rshrn2 v18.4s, v3.2d, #0x1 4F2E8E35 rshrn2 v21.4s, v17.2d, #0x12 4F3E8EE2 rshrn2 v2.4s, v23.2d, #0x2 4F398EF5 rshrn2 v21.4s, v23.2d, #0x7 // RSUBHN_asimddiff_N 0x101110xx1xxxxx011000xxxxxxxxxx 6EBB61DB rsubhn2 v27.4s, v14.2d, v27.2d 2E206172 rsubhn v18.8b, v11.8h, v0.8h 2E2A629A rsubhn v26.8b, v20.8h, v10.8h 2E20611C rsubhn v28.8b, v8.8h, v0.8h 2E6361CE rsubhn v14.4h, v14.4s, v3.4s 6EBB60A5 rsubhn2 v5.4s, v5.2d, v27.2d 6E2D6097 rsubhn2 v23.16b, v4.8h, v13.8h 6E216324 rsubhn2 v4.16b, v25.8h, v1.8h 2E686338 rsubhn v24.4h, v25.4s, v8.4s 6E796170 rsubhn2 v16.8h, v11.4s, v25.4s 6E3263DD rsubhn2 v29.16b, v30.8h, v18.8h 6E7060CF rsubhn2 v15.8h, v6.4s, v16.4s 2E3560C2 rsubhn v2.8b, v6.8h, v21.8h 6E7A62D1 rsubhn2 v17.8h, v22.4s, v26.4s 2EA9614A rsubhn v10.2s, v10.2d, v9.2d 2E266112 rsubhn v18.8b, v8.8h, v6.8h // SABAL_asimddiff_L 0x001110xx1xxxxx010100xxxxxxxxxx 0EB5535E sabal v30.2d, v26.2s, v21.2s 4EA652EC sabal2 v12.2d, v23.4s, v6.4s 0E675116 sabal v22.4s, v8.4h, v7.4h 4E335381 sabal2 v1.8h, v28.16b, v19.16b 4E205309 sabal2 v9.8h, v24.16b, v0.16b 0E635029 sabal v9.4s, v1.4h, v3.4h 4E6653F6 sabal2 v22.4s, v31.8h, v6.8h 0EA752F0 sabal v16.2d, v23.2s, v7.2s 0E3853F5 sabal v21.8h, v31.8b, v24.8b 0E3F53C4 sabal v4.8h, v30.8b, v31.8b 0E2950BA sabal v26.8h, v5.8b, v9.8b 4E735139 sabal2 v25.4s, v9.8h, v19.8h 4EAF5376 sabal2 v22.2d, v27.4s, v15.4s 0EAE5229 sabal v9.2d, v17.2s, v14.2s 4E6053FE sabal2 v30.4s, v31.8h, v0.8h 4E385299 sabal2 v25.8h, v20.16b, v24.16b // SABA_asimdsame_only 0x001110xx1xxxxx011111xxxxxxxxxx 0E2E7C05 saba v5.8b, v0.8b, v14.8b 0EBF7E34 saba v20.2s, v17.2s, v31.2s 0E7E7FCC saba v12.4h, v30.4h, v30.4h 0E717EBB saba v27.4h, v21.4h, v17.4h 4E787F2F saba v15.8h, v25.8h, v24.8h 4E2C7F8F saba v15.16b, v28.16b, v12.16b 0E327EC4 saba v4.8b, v22.8b, v18.8b 4E287ECD saba v13.16b, v22.16b, v8.16b 0E777FE6 saba v6.4h, v31.4h, v23.4h 4E637E19 saba v25.8h, v16.8h, v3.8h 4E607DC0 saba v0.8h, v14.8h, v0.8h 0E387EFF saba v31.8b, v23.8b, v24.8b 0EB57C44 saba v4.2s, v2.2s, v21.2s 4E797DB7 saba v23.8h, v13.8h, v25.8h 0E6B7CFA saba v26.4h, v7.4h, v11.4h 4E727C40 saba v0.8h, v2.8h, v18.8h // SABDL_asimddiff_L 0x001110xx1xxxxx011100xxxxxxxxxx 0E787251 sabdl v17.4s, v18.4h, v24.4h 4EAC72C1 sabdl2 v1.2d, v22.4s, v12.4s 0E27727E sabdl v30.8h, v19.8b, v7.8b 4E7B70A0 sabdl2 v0.4s, v5.8h, v27.8h 4E697227 sabdl2 v7.4s, v17.8h, v9.8h 0E3C7133 sabdl v19.8h, v9.8b, v28.8b 4EBA7302 sabdl2 v2.2d, v24.4s, v26.4s 0E3172EB sabdl v11.8h, v23.8b, v17.8b 0E7E738E sabdl v14.4s, v28.4h, v30.4h 4E2C71C7 sabdl2 v7.8h, v14.16b, v12.16b 4EA673F3 sabdl2 v19.2d, v31.4s, v6.4s 0E2E7093 sabdl v19.8h, v4.8b, v14.8b 0E6170DD sabdl v29.4s, v6.4h, v1.4h 4E73706E sabdl2 v14.4s, v3.8h, v19.8h 0E717089 sabdl v9.4s, v4.4h, v17.4h 0E3A7222 sabdl v2.8h, v17.8b, v26.8b // SABD_asimdsame_only 0x001110xx1xxxxx011101xxxxxxxxxx 0EA97596 sabd v22.2s, v12.2s, v9.2s 4E7E761C sabd v28.8h, v16.8h, v30.8h 0EB776F5 sabd v21.2s, v23.2s, v23.2s 4E757698 sabd v24.8h, v20.8h, v21.8h 0EAD765A sabd v26.2s, v18.2s, v13.2s 0EB374A1 sabd v1.2s, v5.2s, v19.2s 4E6B7548 sabd v8.8h, v10.8h, v11.8h 0E7E7595 sabd v21.4h, v12.4h, v30.4h 0EAF77A1 sabd v1.2s, v29.2s, v15.2s 4E697456 sabd v22.8h, v2.8h, v9.8h 0E65750D sabd v13.4h, v8.4h, v5.4h 4E6F77C1 sabd v1.8h, v30.8h, v15.8h 4EAF749A sabd v26.4s, v4.4s, v15.4s 0E6F75C1 sabd v1.4h, v14.4h, v15.4h 4E767426 sabd v6.8h, v1.8h, v22.8h 0E3375C8 sabd v8.8b, v14.8b, v19.8b // SADALP_asimdmisc_P 0x001110xx100000011010xxxxxxxxxx 4E2069E3 sadalp v3.8h, v15.16b 4E606BFB sadalp v27.4s, v31.8h 0E206A1D sadalp v29.4h, v16.8b 0E606913 sadalp v19.2s, v8.4h 4EA06930 sadalp v16.2d, v9.4s 4E2068EE sadalp v14.8h, v7.16b 4E206A97 sadalp v23.8h, v20.16b 0E60698E sadalp v14.2s, v12.4h 0EA06951 sadalp v17.1d, v10.2s 0EA06B6B sadalp v11.1d, v27.2s 4E606A66 sadalp v6.4s, v19.8h 4E206B10 sadalp v16.8h, v24.16b 4E606B1B sadalp v27.4s, v24.8h 4E206AD5 sadalp v21.8h, v22.16b 0E606868 sadalp v8.2s, v3.4h 4E2069D0 sadalp v16.8h, v14.16b // SADDLP_asimdmisc_P 0x001110xx100000001010xxxxxxxxxx 4E602AEC saddlp v12.4s, v23.8h 4E602843 saddlp v3.4s, v2.8h 4E202835 saddlp v21.8h, v1.16b 0EA02AD5 saddlp v21.1d, v22.2s 0EA02997 saddlp v23.1d, v12.2s 0E6029F7 saddlp v23.2s, v15.4h 0EA02902 saddlp v2.1d, v8.2s 4E602B67 saddlp v7.4s, v27.8h 0E202863 saddlp v3.4h, v3.8b 4EA02BF0 saddlp v16.2d, v31.4s 4EA02833 saddlp v19.2d, v1.4s 0E602BE7 saddlp v7.2s, v31.4h 0EA02A99 saddlp v25.1d, v20.2s 0E60283A saddlp v26.2s, v1.4h 0E602891 saddlp v17.2s, v4.4h 0E6028C0 saddlp v0.2s, v6.4h // SADDLV_asimdall_only 0x001110xx110000001110xxxxxxxxxx 0E303992 saddlv h18, v12.8b 4E7039D9 saddlv s25, v14.8h 4E703A8D saddlv s13, v20.8h 0E303971 saddlv h17, v11.8b 0E303A7A saddlv h26, v19.8b 4E703A39 saddlv s25, v17.8h 0E3039CD saddlv h13, v14.8b 0E3039B4 saddlv h20, v13.8b 4EB038FE saddlv d30, v7.4s 4E70393F saddlv s31, v9.8h 4E303BE1 saddlv h1, v31.16b 0E703BD4 saddlv s20, v30.4h 0E303B17 saddlv h23, v24.8b 0E703B79 saddlv s25, v27.4h 4E30398F saddlv h15, v12.16b 4EB038B1 saddlv d17, v5.4s // SADDL_asimddiff_L 0x001110xx1xxxxx000000xxxxxxxxxx 4E770019 saddl2 v25.4s, v0.8h, v23.8h 4E320204 saddl2 v4.8h, v16.16b, v18.16b 0E2900A7 saddl v7.8h, v5.8b, v9.8b 4E3E024D saddl2 v13.8h, v18.16b, v30.16b 0E2B0092 saddl v18.8h, v4.8b, v11.8b 0EA7010A saddl v10.2d, v8.2s, v7.2s 0E3C003B saddl v27.8h, v1.8b, v28.8b 0EB2008B saddl v11.2d, v4.2s, v18.2s 4EBB0347 saddl2 v7.2d, v26.4s, v27.4s 0EBE0156 saddl v22.2d, v10.2s, v30.2s 0E7A0187 saddl v7.4s, v12.4h, v26.4h 4E2300F0 saddl2 v16.8h, v7.16b, v3.16b 0E380343 saddl v3.8h, v26.8b, v24.8b 0E720198 saddl v24.4s, v12.4h, v18.4h 0E780029 saddl v9.4s, v1.4h, v24.4h 4EAB011E saddl2 v30.2d, v8.4s, v11.4s // SADDW_asimddiff_W 0x001110xx1xxxxx000100xxxxxxxxxx 4E36109A saddw2 v26.8h, v4.8h, v22.16b 4EB11077 saddw2 v23.2d, v3.2d, v17.4s 4E2A1185 saddw2 v5.8h, v12.8h, v10.16b 4E771399 saddw2 v25.4s, v28.4s, v23.8h 4E231210 saddw2 v16.8h, v16.8h, v3.16b 0E621189 saddw v9.4s, v12.4s, v2.4h 4EA710C8 saddw2 v8.2d, v6.2d, v7.4s 4E23104D saddw2 v13.8h, v2.8h, v3.16b 0E691328 saddw v8.4s, v25.4s, v9.4h 0EB012EA saddw v10.2d, v23.2d, v16.2s 4E731096 saddw2 v22.4s, v4.4s, v19.8h 4EB8125A saddw2 v26.2d, v18.2d, v24.4s 0E3C1228 saddw v8.8h, v17.8h, v28.8b 4E32121F saddw2 v31.8h, v16.8h, v18.16b 4E721227 saddw2 v7.4s, v17.4s, v18.8h 4E6512BC saddw2 v28.4s, v21.4s, v5.8h // SBCS_32_addsub_carry 01111010000xxxxx000000xxxxxxxxxx 7A0E012D sbcs w13, w9, w14 7A0A023C sbcs w28, w17, w10 7A150272 sbcs w18, w19, w21 7A0903B3 sbcs w19, w29, w9 7A060368 sbcs w8, w27, w6 7A13029A sbcs w26, w20, w19 7A0803A4 sbcs w4, w29, w8 7A1D01B1 sbcs w17, w13, w29 7A1F004C sbcs w12, w2, wzr 7A120165 sbcs w5, w11, w18 7A0500F1 sbcs w17, w7, w5 7A0403A9 sbcs w9, w29, w4 7A030326 sbcs w6, w25, w3 7A010090 sbcs w16, w4, w1 7A14019A sbcs w26, w12, w20 7A1E0117 sbcs w23, w8, w30 // SBCS_64_addsub_carry 11111010000xxxxx000000xxxxxxxxxx FA1F0036 sbcs x22, x1, xzr FA16016F sbcs x15, x11, x22 FA16032B sbcs x11, x25, x22 FA1B0204 sbcs x4, x16, x27 FA170240 sbcs x0, x18, x23 FA02006D sbcs x13, x3, x2 FA01012D sbcs x13, x9, x1 FA0100D8 sbcs x24, x6, x1 FA090334 sbcs x20, x25, x9 FA0903C6 sbcs x6, x30, x9 FA15007B sbcs x27, x3, x21 FA15010C sbcs x12, x8, x21 FA0D0235 sbcs x21, x17, x13 FA11034D sbcs x13, x26, x17 FA0001D1 sbcs x17, x14, x0 FA0701F2 sbcs x18, x15, x7 // SBC_32_addsub_carry 01011010000xxxxx000000xxxxxxxxxx 5A1E038D sbc w13, w28, w30 5A000107 sbc w7, w8, w0 5A18011C sbc w28, w8, w24 5A0A02CA sbc w10, w22, w10 5A14022F sbc w15, w17, w20 5A1A0048 sbc w8, w2, w26 5A1C02BD sbc w29, w21, w28 5A0700DF sbc wzr, w6, w7 5A19014E sbc w14, w10, w25 5A1C00D7 sbc w23, w6, w28 5A100248 sbc w8, w18, w16 5A160387 sbc w7, w28, w22 5A0A0207 sbc w7, w16, w10 5A17026F sbc w15, w19, w23 5A07020E sbc w14, w16, w7 5A14010F sbc w15, w8, w20 // SBC_64_addsub_carry 11011010000xxxxx000000xxxxxxxxxx DA100293 sbc x19, x20, x16 DA0C0170 sbc x16, x11, x12 DA1D00F9 sbc x25, x7, x29 DA120139 sbc x25, x9, x18 DA0701E3 sbc x3, x15, x7 DA0D00E5 sbc x5, x7, x13 DA1E020B sbc x11, x16, x30 DA0A022D sbc x13, x17, x10 DA080296 sbc x22, x20, x8 DA070168 sbc x8, x11, x7 DA0B0341 sbc x1, x26, x11 DA02037C sbc x28, x27, x2 DA000124 sbc x4, x9, x0 DA0203AD sbc x13, x29, x2 DA1D01E2 sbc x2, x15, x29 DA17038E sbc x14, x28, x23 // SBFIZ_SBFM_32M_bitfield 0001001100xxxxxxxxxxxxxxxxxxxxxx 13040920 sbfiz w0, w9, #0x1c, #0x3 13050365 sbfiz w5, w27, #0x1b, #0x1 13173047 sbfiz w7, w2, #0x9, #0xd 130E2281 sbfiz w1, w20, #0x12, #0x9 131A0B5E sbfiz w30, w26, #0x6, #0x3 1309042B sbfiz w11, w1, #0x17, #0x2 1312275A sbfiz w26, w26, #0xe, #0xa 130200A0 sbfiz w0, w5, #0x1e, #0x1 130E082D sbfiz w13, w1, #0x12, #0x3 131E2C26 sbfiz w6, w1, #0x2, #0xc 13131494 sbfiz w20, w4, #0xd, #0x6 131735A0 sbfiz w0, w13, #0x9, #0xe 131A66E1 sbfiz w1, w23, #0x6, #0x1a 131B58FD sbfiz w29, w7, #0x5, #0x17 1319083A sbfiz w26, w1, #0x7, #0x3 13184B59 sbfiz w25, w26, #0x8, #0x13 // SBFIZ_SBFM_64M_bitfield 1001001101xxxxxxxxxxxxxxxxxxxxxx 937096F6 sbfiz x22, x23, #0x10, #0x26 93600A77 sbfiz x23, x19, #0x20, #0x3 937FDB26 sbfiz x6, x25, #0x1, #0x37 937B2A17 sbfiz x23, x16, #0x5, #0xb 934C2BE2 sbfiz x2, xzr, #0x34, #0xb 93735C6B sbfiz x11, x3, #0xd, #0x18 93724663 sbfiz x3, x19, #0xe, #0x12 936255A6 sbfiz x6, x13, #0x1e, #0x16 935F3A73 sbfiz x19, x19, #0x21, #0xf 9378C3BF sbfiz xzr, x29, #0x8, #0x31 937AA5F8 sbfiz x24, x15, #0x6, #0x2a 9378C65F sbfiz xzr, x18, #0x8, #0x32 93470713 sbfiz x19, x24, #0x39, #0x2 935E17D8 sbfiz x24, x30, #0x22, #0x6 93730953 sbfiz x19, x10, #0xd, #0x3 937E9E4B sbfiz x11, x18, #0x2, #0x28 // SBFX_SBFM_32M_bitfield 00010011000xxxxxxxxxxxxxxxxxxxxx 13016526 sbfx w6, w9, #0x1, #0x19 130B3EFE sbfx w30, w23, #0xb, #0x5 130A7396 sbfx w22, w28, #0xa, #0x13 1312599D sbfx w29, w12, #0x12, #0x5 130A506E sbfx w14, w3, #0xa, #0xb 13036FAE sbfx w14, w29, #0x3, #0x19 131065FD sbfx w29, w15, #0x10, #0xa 13032622 sbfx w2, w17, #0x3, #0x7 13093951 sbfx w17, w10, #0x9, #0x6 13186E7C sbfx w28, w19, #0x18, #0x4 13145867 sbfx w7, w3, #0x14, #0x3 130B46BB sbfx w27, w21, #0xb, #0x7 13095261 sbfx w1, w19, #0x9, #0xc 130C3AEE sbfx w14, w23, #0xc, #0x3 13086B57 sbfx w23, w26, #0x8, #0x13 130211FE sbfx w30, w15, #0x2, #0x3 // SBFX_SBFM_64M_bitfield 1001001101xxxxxxxxxxxxxxxxxxxxxx 934B7425 sbfx x5, x1, #0xb, #0x13 935E8204 sbfx x4, x16, #0x1e, #0x3 9351B8FA sbfx x26, x7, #0x11, #0x1e 9349E8BF sbfx xzr, x5, #0x9, #0x32 93569C1C sbfx x28, x0, #0x16, #0x12 9367AE61 sbfx x1, x19, #0x27, #0x5 9365BEC6 sbfx x6, x22, #0x25, #0xb 934C4DC3 sbfx x3, x14, #0xc, #0x8 93506CDA sbfx x26, x6, #0x10, #0xc 9355CCD5 sbfx x21, x6, #0x15, #0x1f 9348B5C8 sbfx x8, x14, #0x8, #0x26 934331FF sbfx xzr, x15, #0x3, #0xa 9343768E sbfx x14, x20, #0x3, #0x1b 934BE4AE sbfx x14, x5, #0xb, #0x2f 936DEA9E sbfx x30, x20, #0x2d, #0xe 9361E9D1 sbfx x17, x14, #0x21, #0x1a // SB_only_barriers 11010101000000110011xxxx11111111 D50333FF msr s0_3_c3_c3_7, xzr D50334FF msr s0_3_c3_c4_7, xzr D50338FF msr s0_3_c3_c8_7, xzr D5033FFF msr s0_3_c3_c15_7, xzr D50331FF msr s0_3_c3_c1_7, xzr D50339FF msr s0_3_c3_c9_7, xzr D5033DFF msr s0_3_c3_c13_7, xzr D50337FF msr s0_3_c3_c7_7, xzr D5033EFF msr s0_3_c3_c14_7, xzr D5033CFF msr s0_3_c3_c12_7, xzr D50330FF sb D50335FF msr s0_3_c3_c5_7, xzr D5033AFF msr s0_3_c3_c10_7, xzr D5033BFF msr s0_3_c3_c11_7, xzr D50332FF msr s0_3_c3_c2_7, xzr D50336FF msr s0_3_c3_c6_7, xzr // SCVTF_D32_float2fix 000111100100001xxxxxxxxxxxxxxxxx 1E42BA69 scvtf d9, w19, #0x12 1E42BB01 scvtf d1, w24, #0x12 1E42B08F scvtf d15, w4, #0x14 1E42EEE7 scvtf d7, w23, #0x5 1E428111 scvtf d17, w8, #0x20 1E42B504 scvtf d4, w8, #0x13 1E42B3F1 scvtf d17, wzr, #0x14 1E428F68 scvtf d8, w27, #0x1d 1E42A56E scvtf d14, w11, #0x17 1E428079 scvtf d25, w3, #0x20 1E428C78 scvtf d24, w3, #0x1d 1E42E7FC scvtf d28, wzr, #0x7 1E42CC8D scvtf d13, w4, #0xd 1E4294AD scvtf d13, w5, #0x1b 1E42EED5 scvtf d21, w22, #0x5 1E42ED07 scvtf d7, w8, #0x5 // SCVTF_D32_float2int 0001111001100010000000xxxxxxxxxx 1E620063 scvtf d3, w3 1E620041 scvtf d1, w2 1E62009C scvtf d28, w4 1E62012B scvtf d11, w9 1E62001C scvtf d28, w0 1E62018C scvtf d12, w12 1E620124 scvtf d4, w9 1E62030C scvtf d12, w24 1E62012F scvtf d15, w9 1E6201BB scvtf d27, w13 1E62016C scvtf d12, w11 1E620339 scvtf d25, w25 1E62033A scvtf d26, w25 1E620356 scvtf d22, w26 1E6202FD scvtf d29, w23 1E620133 scvtf d19, w9 // SCVTF_D64_float2fix 1001111001000010xxxxxxxxxxxxxxxx 9E428281 scvtf d1, x20, #0x20 9E42CF83 scvtf d3, x28, #0xd 9E429267 scvtf d7, x19, #0x1c 9E426B9B scvtf d27, x28, #0x26 9E42C75A scvtf d26, x26, #0xf 9E4267E0 scvtf d0, xzr, #0x27 9E42A5CB scvtf d11, x14, #0x17 9E424013 scvtf d19, x0, #0x30 9E42B62C scvtf d12, x17, #0x13 9E421D90 scvtf d16, x12, #0x39 9E42AC36 scvtf d22, x1, #0x15 9E426FA7 scvtf d7, x29, #0x25 9E421B67 scvtf d7, x27, #0x3a 9E4296F8 scvtf d24, x23, #0x1b 9E428E7C scvtf d28, x19, #0x1d 9E422F0D scvtf d13, x24, #0x35 // SCVTF_D64_float2int 1001111001100010000000xxxxxxxxxx 9E620230 scvtf d16, x17 9E6202CF scvtf d15, x22 9E6201E3 scvtf d3, x15 9E620123 scvtf d3, x9 9E620038 scvtf d24, x1 9E620274 scvtf d20, x19 9E620180 scvtf d0, x12 9E620263 scvtf d3, x19 9E62020F scvtf d15, x16 9E62023A scvtf d26, x17 9E6200DF scvtf d31, x6 9E6202A0 scvtf d0, x21 9E6201A9 scvtf d9, x13 9E62015C scvtf d28, x10 9E6203B4 scvtf d20, x29 9E6201FE scvtf d30, x15 // SCVTF_H32_float2fix 000111101100001xxxxxxxxxxxxxxxxx 1EC28BE6 scvtf h6, wzr, #0x1e 1EC297B4 scvtf h20, w29, #0x1b 1EC2BA45 scvtf h5, w18, #0x12 1EC2F9ED scvtf h13, w15, #0x2 1EC2A40E scvtf h14, w0, #0x17 1EC2A26E scvtf h14, w19, #0x18 1EC2EA85 scvtf h5, w20, #0x6 1EC2D7C3 scvtf h3, w30, #0xb 1EC2B738 scvtf h24, w25, #0x13 1EC2E8DA scvtf h26, w6, #0x6 1EC2C3C9 scvtf h9, w30, #0x10 1EC2E246 scvtf h6, w18, #0x8 1EC28636 scvtf h22, w17, #0x1f 1EC2F4E6 scvtf h6, w7, #0x3 1EC2D132 scvtf h18, w9, #0xc 1EC2C0AB scvtf h11, w5, #0x10 // SCVTF_H32_float2int 0001111011100010000000xxxxxxxxxx 1EE200ED scvtf h13, w7 1EE202E0 scvtf h0, w23 1EE202C7 scvtf h7, w22 1EE20191 scvtf h17, w12 1EE20313 scvtf h19, w24 1EE2012C scvtf h12, w9 1EE200C8 scvtf h8, w6 1EE200B6 scvtf h22, w5 1EE20218 scvtf h24, w16 1EE202B9 scvtf h25, w21 1EE20127 scvtf h7, w9 1EE201CF scvtf h15, w14 1EE2000C scvtf h12, w0 1EE200F2 scvtf h18, w7 1EE2023C scvtf h28, w17 1EE2008E scvtf h14, w4 // SCVTF_H64_float2fix 1001111011000010xxxxxxxxxxxxxxxx 9EC2B973 scvtf h19, x11, #0x12 9EC21887 scvtf h7, x4, #0x3a 9EC2FAD6 scvtf h22, x22, #0x2 9EC2C251 scvtf h17, x18, #0x10 9EC2627B scvtf h27, x19, #0x28 9EC22678 scvtf h24, x19, #0x37 9EC2ABB2 scvtf h18, x29, #0x16 9EC25842 scvtf h2, x2, #0x2a 9EC25E67 scvtf h7, x19, #0x29 9EC268A0 scvtf h0, x5, #0x26 9EC26CB5 scvtf h21, x5, #0x25 9EC29C31 scvtf h17, x1, #0x19 9EC2EC09 scvtf h9, x0, #0x5 9EC229F4 scvtf h20, x15, #0x36 9EC2FA6D scvtf h13, x19, #0x2 9EC249E3 scvtf h3, x15, #0x2e // SCVTF_H64_float2int 1001111011100010000000xxxxxxxxxx 9EE203A8 scvtf h8, x29 9EE203FC scvtf h28, xzr 9EE20370 scvtf h16, x27 9EE202F1 scvtf h17, x23 9EE20309 scvtf h9, x24 9EE2034F scvtf h15, x26 9EE20288 scvtf h8, x20 9EE20141 scvtf h1, x10 9EE20266 scvtf h6, x19 9EE201D0 scvtf h16, x14 9EE2034C scvtf h12, x26 9EE20187 scvtf h7, x12 9EE2023E scvtf h30, x17 9EE203DA scvtf h26, x30 9EE201EC scvtf h12, x15 9EE20126 scvtf h6, x9 // SCVTF_S32_float2fix 000111100000001xxxxxxxxxxxxxxxxx 1E02DC96 scvtf s22, w4, #0x9 1E02DD6E scvtf s14, w11, #0x9 1E0286CC scvtf s12, w22, #0x1f 1E02C4D1 scvtf s17, w6, #0xf 1E02965E scvtf s30, w18, #0x1b 1E029C09 scvtf s9, w0, #0x19 1E02F1A5 scvtf s5, w13, #0x4 1E0294D1 scvtf s17, w6, #0x1b 1E02D713 scvtf s19, w24, #0xb 1E028E39 scvtf s25, w17, #0x1d 1E02F9E4 scvtf s4, w15, #0x2 1E02FFDA scvtf s26, w30, #0x1 1E02F0D9 scvtf s25, w6, #0x4 1E02BC40 scvtf s0, w2, #0x11 1E02E210 scvtf s16, w16, #0x8 1E02F608 scvtf s8, w16, #0x3 // SCVTF_S32_float2int 0001111000100010000000xxxxxxxxxx 1E220296 scvtf s22, w20 1E2200AB scvtf s11, w5 1E2203A3 scvtf s3, w29 1E2201A9 scvtf s9, w13 1E2200DA scvtf s26, w6 1E220330 scvtf s16, w25 1E220199 scvtf s25, w12 1E2201F8 scvtf s24, w15 1E2203E2 scvtf s2, wzr 1E220114 scvtf s20, w8 1E220097 scvtf s23, w4 1E2200F0 scvtf s16, w7 1E220227 scvtf s7, w17 1E22010C scvtf s12, w8 1E220212 scvtf s18, w16 1E22005F scvtf s31, w2 // SCVTF_S64_float2fix 1001111000000010xxxxxxxxxxxxxxxx 9E020167 scvtf s7, x11, #0x40 9E02E908 scvtf s8, x8, #0x6 9E0296D5 scvtf s21, x22, #0x1b 9E0241E6 scvtf s6, x15, #0x30 9E02D3B1 scvtf s17, x29, #0xc 9E02F9AC scvtf s12, x13, #0x2 9E029C5E scvtf s30, x2, #0x19 9E025C8C scvtf s12, x4, #0x29 9E02E00E scvtf s14, x0, #0x8 9E02EC43 scvtf s3, x2, #0x5 9E02F7AC scvtf s12, x29, #0x3 9E02E4EE scvtf s14, x7, #0x7 9E024222 scvtf s2, x17, #0x30 9E0200AA scvtf s10, x5, #0x40 9E02C2F4 scvtf s20, x23, #0x10 9E0237D1 scvtf s17, x30, #0x33 // SCVTF_S64_float2int 1001111000100010000000xxxxxxxxxx 9E22002C scvtf s12, x1 9E220338 scvtf s24, x25 9E220142 scvtf s2, x10 9E220220 scvtf s0, x17 9E220292 scvtf s18, x20 9E2200FE scvtf s30, x7 9E220342 scvtf s2, x26 9E22025C scvtf s28, x18 9E220385 scvtf s5, x28 9E22012F scvtf s15, x9 9E2200C1 scvtf s1, x6 9E22008B scvtf s11, x4 9E220083 scvtf s3, x4 9E22011D scvtf s29, x8 9E22005F scvtf s31, x2 9E2200BE scvtf s30, x5 // SCVTF_asimdmisc_R 0x0011100x100001110110xxxxxxxxxx 0E21DADA scvtf v26.2s, v22.2s 4E21DBED scvtf v13.4s, v31.4s 4E61DA74 scvtf v20.2d, v19.2d 4E21D9C2 scvtf v2.4s, v14.4s 4E21D9F6 scvtf v22.4s, v15.4s 0E21DADC scvtf v28.2s, v22.2s 0E21DA78 scvtf v24.2s, v19.2s 4E21DABD scvtf v29.4s, v21.4s 4E61DA7D scvtf v29.2d, v19.2d 0E21D80B scvtf v11.2s, v0.2s 0E21D89C scvtf v28.2s, v4.2s 4E21D827 scvtf v7.4s, v1.4s 4E61D9BE scvtf v30.2d, v13.2d 0E21DBB5 scvtf v21.2s, v29.2s 4E21DBE5 scvtf v5.4s, v31.4s 4E61D8F0 scvtf v16.2d, v7.2d // SCVTF_asimdmiscfp16_R 0x0011100111100111xxxxxxxxxxxxxx 4E79DB24 scvtf v4.8h, v25.8h 0E79DA83 scvtf v3.4h, v20.4h 4E79D879 scvtf v25.8h, v3.8h 4E79DA55 scvtf v21.8h, v18.8h 4E79D83F scvtf v31.8h, v1.8h 0E79DAB6 scvtf v22.4h, v21.4h 0E79DA58 scvtf v24.4h, v18.4h 0E79DA76 scvtf v22.4h, v19.4h 4E79D9D3 scvtf v19.8h, v14.8h 4E79D86E scvtf v14.8h, v3.8h 0E79D956 scvtf v22.4h, v10.4h 4E79DA8B scvtf v11.8h, v20.8h 0E79D9BE scvtf v30.4h, v13.4h 4E79D961 scvtf v1.8h, v11.8h 4E79DAC3 scvtf v3.8h, v22.8h 4E79DBEA scvtf v10.8h, v31.8h // SCVTF_asimdshf_C 0x0011110xxxxxxx1110xxxxxxxxxxxx 0F11E77E scvtf v30.4h, v27.4h, #0xf 0F1FE46A scvtf v10.4h, v3.4h, #0x1 0F29E6AE scvtf v14.2s, v21.2s, #0x17 4F5BE710 scvtf v16.2d, v24.2d, #0x25 4F77E698 scvtf v24.2d, v20.2d, #0x9 4F59E5FA scvtf v26.2d, v15.2d, #0x27 4F4AE5A7 scvtf v7.2d, v13.2d, #0x36 0F1DE6DF scvtf v31.4h, v22.4h, #0x3 4F49E768 scvtf v8.2d, v27.2d, #0x37 0F3CE767 scvtf v7.2s, v27.2s, #0x4 4F17E7C8 scvtf v8.8h, v30.8h, #0x9 0F37E7C0 scvtf v0.2s, v30.2s, #0x9 0F2DE483 scvtf v3.2s, v4.2s, #0x13 0F11E6AA scvtf v10.4h, v21.4h, #0xf 0F29E4B2 scvtf v18.2s, v5.2s, #0x17 4F30E648 scvtf v8.4s, v18.4s, #0x10 // SCVTF_asisdmisc_R 010111100x100001110110xxxxxxxxxx 5E21DB2A scvtf s10, s25 5E21D974 scvtf s20, s11 5E61DBBA scvtf d26, d29 5E61D8FD scvtf d29, d7 5E21DA86 scvtf s6, s20 5E21DB15 scvtf s21, s24 5E21DBA2 scvtf s2, s29 5E21DBE1 scvtf s1, s31 5E21D998 scvtf s24, s12 5E21DBB0 scvtf s16, s29 5E61D9C7 scvtf d7, d14 5E21DB55 scvtf s21, s26 5E21DAC8 scvtf s8, s22 5E21D934 scvtf s20, s9 5E61D9A1 scvtf d1, d13 5E61DBF9 scvtf d25, d31 // SCVTF_asisdmiscfp16_R 0101111001111001110110xxxxxxxxxx 5E79D8B4 scvtf h20, h5 5E79DB9F scvtf h31, h28 5E79D8E7 scvtf h7, h7 5E79D9D4 scvtf h20, h14 5E79DAEA scvtf h10, h23 5E79DBB4 scvtf h20, h29 5E79DB49 scvtf h9, h26 5E79D8AA scvtf h10, h5 5E79D87A scvtf h26, h3 5E79D8CB scvtf h11, h6 5E79DA31 scvtf h17, h17 5E79DAD9 scvtf h25, h22 5E79D969 scvtf h9, h11 5E79D9C3 scvtf h3, h14 5E79DA12 scvtf h18, h16 5E79D924 scvtf h4, h9 // SCVTF_asisdshf_C 010111110xxxxxxx111001xxxxxxxxxx 5F11E574 scvtf h20, h11, #0xf 5F37E78A scvtf s10, s28, #0x9 5F5CE780 scvtf d0, d28, #0x24 5F12E573 scvtf h19, h11, #0xe 5F48E493 scvtf d19, d4, #0x38 5F34E4BD scvtf s29, s5, #0xc 5F27E49B scvtf s27, s4, #0x19 5F2BE634 scvtf s20, s17, #0x15 5F5AE747 scvtf d7, d26, #0x26 5F4EE477 scvtf d23, d3, #0x32 5F76E475 scvtf d21, d3, #0xa 5F2AE7CA scvtf s10, s30, #0x16 5F37E5B9 scvtf s25, s13, #0x9 5F1AE78A scvtf h10, h28, #0x6 5F3CE5B1 scvtf s17, s13, #0x4 5F44E4AC scvtf d12, d5, #0x3c // SDIV_32_dp_2src 00011010110xxxxx000011xxxxxxxxxx 1AC80DD9 sdiv w25, w14, w8 1ADE0EA6 sdiv w6, w21, w30 1AD70C17 sdiv w23, w0, w23 1AD20DF0 sdiv w16, w15, w18 1AC50D1D sdiv w29, w8, w5 1AD90C4D sdiv w13, w2, w25 1AC50CD6 sdiv w22, w6, w5 1ACA0EB8 sdiv w24, w21, w10 1AC90EF8 sdiv w24, w23, w9 1ACF0CB8 sdiv w24, w5, w15 1ACC0DC5 sdiv w5, w14, w12 1AC10EFF sdiv wzr, w23, w1 1ADC0C0C sdiv w12, w0, w28 1AD30F80 sdiv w0, w28, w19 1AC80C09 sdiv w9, w0, w8 1ACD0C43 sdiv w3, w2, w13 // SDIV_64_dp_2src 10011010110xxxxx000011xxxxxxxxxx 9ACC0F23 sdiv x3, x25, x12 9AC70EE5 sdiv x5, x23, x7 9ACD0F1A sdiv x26, x24, x13 9AC10FBC sdiv x28, x29, x1 9AC20DE9 sdiv x9, x15, x2 9AC40C51 sdiv x17, x2, x4 9AC60F6E sdiv x14, x27, x6 9AD00F85 sdiv x5, x28, x16 9AD60C79 sdiv x25, x3, x22 9ADF0C12 sdiv x18, x0, xzr 9ADA0EBE sdiv x30, x21, x26 9AD90E37 sdiv x23, x17, x25 9AC10E51 sdiv x17, x18, x1 9AC50F1E sdiv x30, x24, x5 9AC20CD1 sdiv x17, x6, x2 9AD40E16 sdiv x22, x16, x20 // SDOT_asimdelem_D 0x00111110xxxxxx1110x0xxxxxxxxxx 0F9BE85D sdot v29.2s, v2.8b, v27.4b[2] 0FB9EAA5 sdot v5.2s, v21.8b, v25.4b[3] 0F94E197 sdot v23.2s, v12.8b, v20.4b[0] 0FA9E01D sdot v29.2s, v0.8b, v9.4b[1] 4FB3E310 sdot v16.4s, v24.16b, v19.4b[1] 4F89EAFE sdot v30.4s, v23.16b, v9.4b[2] 4F86E0D3 sdot v19.4s, v6.16b, v6.4b[0] 0FB3E37E sdot v30.2s, v27.8b, v19.4b[1] 4F81EB7D sdot v29.4s, v27.16b, v1.4b[2] 0F86E1A0 sdot v0.2s, v13.8b, v6.4b[0] 4FACEB21 sdot v1.4s, v25.16b, v12.4b[3] 0FB0E225 sdot v5.2s, v17.8b, v16.4b[1] 4F94E28F sdot v15.4s, v20.16b, v20.4b[0] 4FB0E2E2 sdot v2.4s, v23.16b, v16.4b[1] 0F8DE169 sdot v9.2s, v11.8b, v13.4b[0] 4FBEEA78 sdot v24.4s, v19.16b, v30.4b[3] // SDOT_asimdsame2_D 0x001110100xxxxx100101xxxxxxxxxx 0E9F97ED sdot v13.2s, v31.8b, v31.8b 0E9094D8 sdot v24.2s, v6.8b, v16.8b 0E9D96A3 sdot v3.2s, v21.8b, v29.8b 4E8A94AC sdot v12.4s, v5.16b, v10.16b 4E859587 sdot v7.4s, v12.16b, v5.16b 4E92940E sdot v14.4s, v0.16b, v18.16b 0E8295EB sdot v11.2s, v15.8b, v2.8b 0E9995EB sdot v11.2s, v15.8b, v25.8b 0E8496DB sdot v27.2s, v22.8b, v4.8b 4E9F977C sdot v28.4s, v27.16b, v31.16b 0E9396AB sdot v11.2s, v21.8b, v19.8b 4E969792 sdot v18.4s, v28.16b, v22.16b 0E93972E sdot v14.2s, v25.8b, v19.8b 0E9E942A sdot v10.2s, v1.8b, v30.8b 0E869534 sdot v20.2s, v9.8b, v6.8b 0E8A94FE sdot v30.2s, v7.8b, v10.8b // SETF16_only_setf 0011101000000000010010xxxxx01101 3A00494D setf16 w10 3A00484D setf16 w2 3A00498D setf16 w12 3A004B4D setf16 w26 3A004BCD setf16 w30 3A00486D setf16 w3 3A00496D setf16 w11 3A0049AD setf16 w13 3A004ACD setf16 w22 3A00482D setf16 w1 3A00490D setf16 w8 3A0049ED setf16 w15 3A00492D setf16 w9 3A004B8D setf16 w28 3A004BAD setf16 w29 3A00488D setf16 w4 // SETF8_only_setf 0011101000000000000010xxxxx01101 3A000A4D setf8 w18 3A000BAD setf8 w29 3A0009CD setf8 w14 3A00092D setf8 w9 3A0009ED setf8 w15 3A000A6D setf8 w19 3A000AED setf8 w23 3A000B2D setf8 w25 3A000B6D setf8 w27 3A00098D setf8 w12 3A0009AD setf8 w13 3A00084D setf8 w2 3A00082D setf8 w1 3A00086D setf8 w3 3A0008ED setf8 w7 3A00088D setf8 w4 // SEVL_HI_hints 1101010100000011001000001xxxxxxx D50320BF sevl // SEV_HI_hints 11010101000000110010000010xxxxxx D503209F sev // SHA1C_QSV_cryptosha3 01011110000xxxxx000000xxxxxxxxxx 5E1B00ED sha1c q13, s7, v27.4s 5E0D01F4 sha1c q20, s15, v13.4s 5E1B03D0 sha1c q16, s30, v27.4s 5E1700C9 sha1c q9, s6, v23.4s 5E07000A sha1c q10, s0, v7.4s 5E1601C7 sha1c q7, s14, v22.4s 5E0303F0 sha1c q16, s31, v3.4s 5E0C0093 sha1c q19, s4, v12.4s 5E0D01E9 sha1c q9, s15, v13.4s 5E05028B sha1c q11, s20, v5.4s 5E1C01B6 sha1c q22, s13, v28.4s 5E040298 sha1c q24, s20, v4.4s 5E1100B6 sha1c q22, s5, v17.4s 5E1E0193 sha1c q19, s12, v30.4s 5E1D0345 sha1c q5, s26, v29.4s 5E0A03B4 sha1c q20, s29, v10.4s // SHA1H_SS_cryptosha2 0101111000101000000010xxxxxxxxxx 5E280ABB sha1h s27, s21 5E280927 sha1h s7, s9 5E280BEF sha1h s15, s31 5E28090F sha1h s15, s8 5E280A5F sha1h s31, s18 5E280982 sha1h s2, s12 5E280AF3 sha1h s19, s23 5E280B4C sha1h s12, s26 5E28083E sha1h s30, s1 5E280A9A sha1h s26, s20 5E280A7D sha1h s29, s19 5E280B67 sha1h s7, s27 5E280A8A sha1h s10, s20 5E2809A0 sha1h s0, s13 5E280972 sha1h s18, s11 5E280947 sha1h s7, s10 // SHA1M_QSV_cryptosha3 01011110000xxxxx001000xxxxxxxxxx 5E0A23E3 sha1m q3, s31, v10.4s 5E06205A sha1m q26, s2, v6.4s 5E12204C sha1m q12, s2, v18.4s 5E0522C3 sha1m q3, s22, v5.4s 5E05227A sha1m q26, s19, v5.4s 5E15239E sha1m q30, s28, v21.4s 5E0C2100 sha1m q0, s8, v12.4s 5E012303 sha1m q3, s24, v1.4s 5E1420EB sha1m q11, s7, v20.4s 5E082111 sha1m q17, s8, v8.4s 5E002299 sha1m q25, s20, v0.4s 5E0E222A sha1m q10, s17, v14.4s 5E0F218C sha1m q12, s12, v15.4s 5E0520CF sha1m q15, s6, v5.4s 5E15223A sha1m q26, s17, v21.4s 5E11204A sha1m q10, s2, v17.4s // SHA1P_QSV_cryptosha3 01011110000xxxxx000100xxxxxxxxxx 5E1311EF sha1p q15, s15, v19.4s 5E1213F0 sha1p q16, s31, v18.4s 5E0C1274 sha1p q20, s19, v12.4s 5E0A1098 sha1p q24, s4, v10.4s 5E091177 sha1p q23, s11, v9.4s 5E1F1210 sha1p q16, s16, v31.4s 5E1D1322 sha1p q2, s25, v29.4s 5E061320 sha1p q0, s25, v6.4s 5E0C131E sha1p q30, s24, v12.4s 5E0710D8 sha1p q24, s6, v7.4s 5E1511A3 sha1p q3, s13, v21.4s 5E0A12AE sha1p q14, s21, v10.4s 5E0D109F sha1p q31, s4, v13.4s 5E0D1178 sha1p q24, s11, v13.4s 5E1B121C sha1p q28, s16, v27.4s 5E081250 sha1p q16, s18, v8.4s // SHA1SU0_VVV_cryptosha3 01011110000xxxxx001100xxxxxxxxxx 5E0533DF sha1su0 v31.4s, v30.4s, v5.4s 5E1F3210 sha1su0 v16.4s, v16.4s, v31.4s 5E0F31A5 sha1su0 v5.4s, v13.4s, v15.4s 5E183224 sha1su0 v4.4s, v17.4s, v24.4s 5E093205 sha1su0 v5.4s, v16.4s, v9.4s 5E1632DC sha1su0 v28.4s, v22.4s, v22.4s 5E0E3171 sha1su0 v17.4s, v11.4s, v14.4s 5E063035 sha1su0 v21.4s, v1.4s, v6.4s 5E123116 sha1su0 v22.4s, v8.4s, v18.4s 5E09326F sha1su0 v15.4s, v19.4s, v9.4s 5E02334B sha1su0 v11.4s, v26.4s, v2.4s 5E0432BB sha1su0 v27.4s, v21.4s, v4.4s 5E07302F sha1su0 v15.4s, v1.4s, v7.4s 5E1A32D6 sha1su0 v22.4s, v22.4s, v26.4s 5E1B324C sha1su0 v12.4s, v18.4s, v27.4s 5E0B3073 sha1su0 v19.4s, v3.4s, v11.4s // SHA1SU1_VV_cryptosha2 0101111000101000000110xxxxxxxxxx 5E281A6D sha1su1 v13.4s, v19.4s 5E28181D sha1su1 v29.4s, v0.4s 5E281B22 sha1su1 v2.4s, v25.4s 5E281AE0 sha1su1 v0.4s, v23.4s 5E281924 sha1su1 v4.4s, v9.4s 5E281AF8 sha1su1 v24.4s, v23.4s 5E281BA4 sha1su1 v4.4s, v29.4s 5E2819B5 sha1su1 v21.4s, v13.4s 5E2818B2 sha1su1 v18.4s, v5.4s 5E2819DE sha1su1 v30.4s, v14.4s 5E2818E2 sha1su1 v2.4s, v7.4s 5E281BC4 sha1su1 v4.4s, v30.4s 5E281B7E sha1su1 v30.4s, v27.4s 5E28188E sha1su1 v14.4s, v4.4s 5E281887 sha1su1 v7.4s, v4.4s 5E281910 sha1su1 v16.4s, v8.4s // SHA256H2_QQV_cryptosha3 01011110000xxxxx010100xxxxxxxxxx 5E1253B5 sha256h2 q21, q29, v18.4s 5E045122 sha256h2 q2, q9, v4.4s 5E0253EA sha256h2 q10, q31, v2.4s 5E0A5387 sha256h2 q7, q28, v10.4s 5E0F52C6 sha256h2 q6, q22, v15.4s 5E095171 sha256h2 q17, q11, v9.4s 5E105194 sha256h2 q20, q12, v16.4s 5E035130 sha256h2 q16, q9, v3.4s 5E1F506E sha256h2 q14, q3, v31.4s 5E0E52D0 sha256h2 q16, q22, v14.4s 5E065220 sha256h2 q0, q17, v6.4s 5E1052C7 sha256h2 q7, q22, v16.4s 5E08510F sha256h2 q15, q8, v8.4s 5E155330 sha256h2 q16, q25, v21.4s 5E1A50E7 sha256h2 q7, q7, v26.4s 5E1F507F sha256h2 q31, q3, v31.4s // SHA256H_QQV_cryptosha3 01011110000xxxxx010000xxxxxxxxxx 5E1E4007 sha256h q7, q0, v30.4s 5E044170 sha256h q16, q11, v4.4s 5E1C4237 sha256h q23, q17, v28.4s 5E1A412B sha256h q11, q9, v26.4s 5E1F4359 sha256h q25, q26, v31.4s 5E1440EE sha256h q14, q7, v20.4s 5E1F4348 sha256h q8, q26, v31.4s 5E1441C7 sha256h q7, q14, v20.4s 5E1B40EE sha256h q14, q7, v27.4s 5E08438D sha256h q13, q28, v8.4s 5E1B4037 sha256h q23, q1, v27.4s 5E114287 sha256h q7, q20, v17.4s 5E00417C sha256h q28, q11, v0.4s 5E13412D sha256h q13, q9, v19.4s 5E0F4084 sha256h q4, q4, v15.4s 5E034333 sha256h q19, q25, v3.4s // SHA256SU0_VV_cryptosha2 0101111000101000001010xxxxxxxxxx 5E282969 sha256su0 v9.4s, v11.4s 5E282B58 sha256su0 v24.4s, v26.4s 5E282B73 sha256su0 v19.4s, v27.4s 5E282A72 sha256su0 v18.4s, v19.4s 5E282BE3 sha256su0 v3.4s, v31.4s 5E28295F sha256su0 v31.4s, v10.4s 5E282982 sha256su0 v2.4s, v12.4s 5E282881 sha256su0 v1.4s, v4.4s 5E282B7B sha256su0 v27.4s, v27.4s 5E28288A sha256su0 v10.4s, v4.4s 5E2829BF sha256su0 v31.4s, v13.4s 5E282ACE sha256su0 v14.4s, v22.4s 5E2828E2 sha256su0 v2.4s, v7.4s 5E282871 sha256su0 v17.4s, v3.4s 5E282B40 sha256su0 v0.4s, v26.4s 5E282AC3 sha256su0 v3.4s, v22.4s // SHA256SU1_VVV_cryptosha3 01011110000xxxxx011000xxxxxxxxxx 5E0C622D sha256su1 v13.4s, v17.4s, v12.4s 5E086381 sha256su1 v1.4s, v28.4s, v8.4s 5E1563DD sha256su1 v29.4s, v30.4s, v21.4s 5E1F6232 sha256su1 v18.4s, v17.4s, v31.4s 5E1B629A sha256su1 v26.4s, v20.4s, v27.4s 5E036163 sha256su1 v3.4s, v11.4s, v3.4s 5E016020 sha256su1 v0.4s, v1.4s, v1.4s 5E0C638D sha256su1 v13.4s, v28.4s, v12.4s 5E076331 sha256su1 v17.4s, v25.4s, v7.4s 5E006008 sha256su1 v8.4s, v0.4s, v0.4s 5E0A6247 sha256su1 v7.4s, v18.4s, v10.4s 5E0A63E6 sha256su1 v6.4s, v31.4s, v10.4s 5E1663CC sha256su1 v12.4s, v30.4s, v22.4s 5E0263A6 sha256su1 v6.4s, v29.4s, v2.4s 5E18613C sha256su1 v28.4s, v9.4s, v24.4s 5E1D632E sha256su1 v14.4s, v25.4s, v29.4s // SHA512H2_QQV_cryptosha512_3 11001110011xxxxx100001xxxxxxxxxx CE6F841E sha512h2 q30, q0, v15.2d CE60846D sha512h2 q13, q3, v0.2d CE708708 sha512h2 q8, q24, v16.2d CE6384FE sha512h2 q30, q7, v3.2d CE768708 sha512h2 q8, q24, v22.2d CE788483 sha512h2 q3, q4, v24.2d CE7E8460 sha512h2 q0, q3, v30.2d CE6A8524 sha512h2 q4, q9, v10.2d CE7D8637 sha512h2 q23, q17, v29.2d CE7C8487 sha512h2 q7, q4, v28.2d CE7187E2 sha512h2 q2, q31, v17.2d CE698762 sha512h2 q2, q27, v9.2d CE6184C2 sha512h2 q2, q6, v1.2d CE6487A1 sha512h2 q1, q29, v4.2d CE7987E4 sha512h2 q4, q31, v25.2d CE7784FF sha512h2 q31, q7, v23.2d // SHA512H_QQV_cryptosha512_3 11001110011xxxxx100000xxxxxxxxxx CE6A81DE sha512h q30, q14, v10.2d CE7C81CD sha512h q13, q14, v28.2d CE6A811A sha512h q26, q8, v10.2d CE7083A5 sha512h q5, q29, v16.2d CE72830C sha512h q12, q24, v18.2d CE7D81BE sha512h q30, q13, v29.2d CE7D8266 sha512h q6, q19, v29.2d CE7A83A8 sha512h q8, q29, v26.2d CE7E8013 sha512h q19, q0, v30.2d CE6580F7 sha512h q23, q7, v5.2d CE6A825D sha512h q29, q18, v10.2d CE7480D5 sha512h q21, q6, v20.2d CE7B802B sha512h q11, q1, v27.2d CE61833A sha512h q26, q25, v1.2d CE7281C0 sha512h q0, q14, v18.2d CE618189 sha512h q9, q12, v1.2d // SHA512SU0_VV2_cryptosha512_2 1100111011000000100000xxxxxxxxxx CEC080CA sha512su0 v10.2d, v6.2d CEC0812D sha512su0 v13.2d, v9.2d CEC0834F sha512su0 v15.2d, v26.2d CEC0806E sha512su0 v14.2d, v3.2d CEC0825D sha512su0 v29.2d, v18.2d CEC08280 sha512su0 v0.2d, v20.2d CEC08001 sha512su0 v1.2d, v0.2d CEC083CC sha512su0 v12.2d, v30.2d CEC08385 sha512su0 v5.2d, v28.2d CEC08294 sha512su0 v20.2d, v20.2d CEC0822C sha512su0 v12.2d, v17.2d CEC08245 sha512su0 v5.2d, v18.2d CEC080DA sha512su0 v26.2d, v6.2d CEC08351 sha512su0 v17.2d, v26.2d CEC082E8 sha512su0 v8.2d, v23.2d CEC083E0 sha512su0 v0.2d, v31.2d // SHA512SU1_VVV2_cryptosha512_3 11001110011xxxxx100010xxxxxxxxxx CE6588CD sha512su1 v13.2d, v6.2d, v5.2d CE6C8A72 sha512su1 v18.2d, v19.2d, v12.2d CE7A890D sha512su1 v13.2d, v8.2d, v26.2d CE7789BF sha512su1 v31.2d, v13.2d, v23.2d CE6688E6 sha512su1 v6.2d, v7.2d, v6.2d CE7188FC sha512su1 v28.2d, v7.2d, v17.2d CE778BFC sha512su1 v28.2d, v31.2d, v23.2d CE7E8A46 sha512su1 v6.2d, v18.2d, v30.2d CE7C8BFF sha512su1 v31.2d, v31.2d, v28.2d CE728932 sha512su1 v18.2d, v9.2d, v18.2d CE7689E0 sha512su1 v0.2d, v15.2d, v22.2d CE648AEB sha512su1 v11.2d, v23.2d, v4.2d CE678995 sha512su1 v21.2d, v12.2d, v7.2d CE6A89E4 sha512su1 v4.2d, v15.2d, v10.2d CE6589E9 sha512su1 v9.2d, v15.2d, v5.2d CE6A8B05 sha512su1 v5.2d, v24.2d, v10.2d // SHADD_asimdsame_only 0x001110xx1xxxxx000001xxxxxxxxxx 0E2306EA shadd v10.8b, v23.8b, v3.8b 0E620538 shadd v24.4h, v9.4h, v2.4h 0EA805EE shadd v14.2s, v15.2s, v8.2s 0E66051E shadd v30.4h, v8.4h, v6.4h 4EA2048F shadd v15.4s, v4.4s, v2.4s 4E2E05F2 shadd v18.16b, v15.16b, v14.16b 4E7F0678 shadd v24.8h, v19.8h, v31.8h 0E650750 shadd v16.4h, v26.4h, v5.4h 0E670793 shadd v19.4h, v28.4h, v7.4h 0EA10780 shadd v0.2s, v28.2s, v1.2s 0E680557 shadd v23.4h, v10.4h, v8.4h 0E2C05B0 shadd v16.8b, v13.8b, v12.8b 0EA607B6 shadd v22.2s, v29.2s, v6.2s 4E2E044E shadd v14.16b, v2.16b, v14.16b 0EBC06D3 shadd v19.2s, v22.2s, v28.2s 4E3E0754 shadd v20.16b, v26.16b, v30.16b // SHLL_asimdmisc_S 0x101110xx100001001110xxxxxxxxxx 2E213961 shll v1.8h, v11.8b, #0x8 2EA13A8E shll v14.2d, v20.2s, #0x20 2EA13AF6 shll v22.2d, v23.2s, #0x20 2E213A45 shll v5.8h, v18.8b, #0x8 2EA13867 shll v7.2d, v3.2s, #0x20 2EA13A83 shll v3.2d, v20.2s, #0x20 6EA1389B shll2 v27.2d, v4.4s, #0x20 6E213B5A shll2 v26.8h, v26.16b, #0x8 6E213B29 shll2 v9.8h, v25.16b, #0x8 6EA1384A shll2 v10.2d, v2.4s, #0x20 2E613A75 shll v21.4s, v19.4h, #0x10 6E213B6C shll2 v12.8h, v27.16b, #0x8 2EA13A4D shll v13.2d, v18.2s, #0x20 2E6138B2 shll v18.4s, v5.4h, #0x10 2EA13837 shll v23.2d, v1.2s, #0x20 6E613A52 shll2 v18.4s, v18.8h, #0x10 // SHL_asimdshf_R 0x0011110xxxxxxx010101xxxxxxxxxx 4F4256B3 shl v19.2d, v21.2d, #0x2 0F175507 shl v7.4h, v8.4h, #0x7 4F1C5682 shl v2.8h, v20.8h, #0xc 0F255531 shl v17.2s, v9.2s, #0x5 4F0854FC shl v28.16b, v7.16b, #0x0 4F6D54DC shl v28.2d, v6.2d, #0x2d 4F2E5597 shl v23.4s, v12.4s, #0xe 4F3756BA shl v26.4s, v21.4s, #0x17 4F5656C4 shl v4.2d, v22.2d, #0x16 4F55553F shl v31.2d, v9.2d, #0x15 4F1A54DA shl v26.8h, v6.8h, #0xa 4F2F564B shl v11.4s, v18.4s, #0xf 4F2254EB shl v11.4s, v7.4s, #0x2 4F615414 shl v20.2d, v0.2d, #0x21 4F4C576E shl v14.2d, v27.2d, #0xc 4F0856B9 shl v25.16b, v21.16b, #0x0 // SHL_asisdshf_R 0101111101xxxxxx010101xxxxxxxxxx 5F7E54D2 shl d18, d6, #0x3e 5F70547B shl d27, d3, #0x30 5F725684 shl d4, d20, #0x32 5F6F5424 shl d4, d1, #0x2f 5F705675 shl d21, d19, #0x30 5F725704 shl d4, d24, #0x32 5F79576B shl d11, d27, #0x39 5F6F5508 shl d8, d8, #0x2f 5F4E549A shl d26, d4, #0xe 5F5454E6 shl d6, d7, #0x14 5F4B55C5 shl d5, d14, #0xb 5F705499 shl d25, d4, #0x30 5F5E5764 shl d4, d27, #0x1e 5F4356F7 shl d23, d23, #0x3 5F675562 shl d2, d11, #0x27 5F6E57FB shl d27, d31, #0x2e // SHRN_asimdshf_N 0x00111100xxxxxx1000xxxxxxxxxxxx 4F3E8559 shrn2 v25.4s, v10.2d, #0x2 4F3B840F shrn2 v15.4s, v0.2d, #0x5 4F2B8540 shrn2 v0.4s, v10.2d, #0x15 0F1A8529 shrn v9.4h, v9.4s, #0x6 0F1987FF shrn v31.4h, v31.4s, #0x7 4F0E85BD shrn2 v29.16b, v13.8h, #0x2 0F2A85FF shrn v31.2s, v15.2d, #0x16 4F27871B shrn2 v27.4s, v24.2d, #0x19 0F1B854C shrn v12.4h, v10.4s, #0x5 0F1D86FC shrn v28.4h, v23.4s, #0x3 0F1A85BB shrn v27.4h, v13.4s, #0x6 0F1284AC shrn v12.4h, v5.4s, #0xe 0F21855A shrn v26.2s, v10.2d, #0x1f 0F268694 shrn v20.2s, v20.2d, #0x1a 4F1D87B6 shrn2 v22.8h, v29.4s, #0x3 0F2484BA shrn v26.2s, v5.2d, #0x1c // SHSUB_asimdsame_only 0x001110xx1xxxxx001001xxxxxxxxxx 4EA727F4 shsub v20.4s, v31.4s, v7.4s 4E6A26C5 shsub v5.8h, v22.8h, v10.8h 4EB12747 shsub v7.4s, v26.4s, v17.4s 4E7424B1 shsub v17.8h, v5.8h, v20.8h 4E7B24CA shsub v10.8h, v6.8h, v27.8h 4E7227AC shsub v12.8h, v29.8h, v18.8h 0E7927CC shsub v12.4h, v30.4h, v25.4h 4E6E2481 shsub v1.8h, v4.8h, v14.8h 0E6C25F9 shsub v25.4h, v15.4h, v12.4h 0E2E275F shsub v31.8b, v26.8b, v14.8b 4E2D25AE shsub v14.16b, v13.16b, v13.16b 0EB926C7 shsub v7.2s, v22.2s, v25.2s 0E7324CA shsub v10.4h, v6.4h, v19.4h 4EAD261C shsub v28.4s, v16.4s, v13.4s 4E2125AD shsub v13.16b, v13.16b, v1.16b 0E6525D9 shsub v25.4h, v14.4h, v5.4h // SLI_asimdshf_R 0x1011110xxxxxxx010101xxxxxxxxxx 6F6C54AA sli v10.2d, v5.2d, #0x2c 6F6955D8 sli v24.2d, v14.2d, #0x29 6F535493 sli v19.2d, v4.2d, #0x13 6F095739 sli v25.16b, v25.16b, #0x1 6F395643 sli v3.4s, v18.4s, #0x19 6F665788 sli v8.2d, v28.2d, #0x26 6F645746 sli v6.2d, v26.2d, #0x24 2F165630 sli v16.4h, v17.4h, #0x6 6F5E5493 sli v19.2d, v4.2d, #0x1e 6F7B54FE sli v30.2d, v7.2d, #0x3b 6F7B5757 sli v23.2d, v26.2d, #0x3b 6F425762 sli v2.2d, v27.2d, #0x2 6F7D5416 sli v22.2d, v0.2d, #0x3d 6F225630 sli v16.4s, v17.4s, #0x2 6F7F5724 sli v4.2d, v25.2d, #0x3f 2F105597 sli v23.4h, v12.4h, #0x0 // SLI_asisdshf_R 0111111101xxxxxx010101xxxxxxxxxx 7F6D5475 sli d21, d3, #0x2d 7F63554D sli d13, d10, #0x23 7F4B574D sli d13, d26, #0xb 7F555588 sli d8, d12, #0x15 7F7B5645 sli d5, d18, #0x3b 7F4A56E8 sli d8, d23, #0xa 7F6454F4 sli d20, d7, #0x24 7F4F56FC sli d28, d23, #0xf 7F7C57E1 sli d1, d31, #0x3c 7F4856D2 sli d18, d22, #0x8 7F7556FA sli d26, d23, #0x35 7F59576C sli d12, d27, #0x19 7F6E55B2 sli d18, d13, #0x2e 7F685404 sli d4, d0, #0x28 7F7B5743 sli d3, d26, #0x3b 7F4955CB sli d11, d14, #0x9 // SM3PARTW1_VVV4_cryptosha512_3 11001110011xxxxx110000xxxxxxxxxx CE7AC007 sm3partw1 v7.4s, v0.4s, v26.4s CE66C2E4 sm3partw1 v4.4s, v23.4s, v6.4s CE78C2BA sm3partw1 v26.4s, v21.4s, v24.4s CE71C2AA sm3partw1 v10.4s, v21.4s, v17.4s CE70C108 sm3partw1 v8.4s, v8.4s, v16.4s CE64C2F9 sm3partw1 v25.4s, v23.4s, v4.4s CE60C197 sm3partw1 v23.4s, v12.4s, v0.4s CE68C3ED sm3partw1 v13.4s, v31.4s, v8.4s CE61C1EA sm3partw1 v10.4s, v15.4s, v1.4s CE69C1D5 sm3partw1 v21.4s, v14.4s, v9.4s CE6AC1C3 sm3partw1 v3.4s, v14.4s, v10.4s CE78C3AF sm3partw1 v15.4s, v29.4s, v24.4s CE7BC037 sm3partw1 v23.4s, v1.4s, v27.4s CE7AC24D sm3partw1 v13.4s, v18.4s, v26.4s CE7BC2D0 sm3partw1 v16.4s, v22.4s, v27.4s CE6FC198 sm3partw1 v24.4s, v12.4s, v15.4s // SM3PARTW2_VVV4_cryptosha512_3 11001110011xxxxx110001xxxxxxxxxx CE64C528 sm3partw2 v8.4s, v9.4s, v4.4s CE6FC473 sm3partw2 v19.4s, v3.4s, v15.4s CE6CC517 sm3partw2 v23.4s, v8.4s, v12.4s CE75C664 sm3partw2 v4.4s, v19.4s, v21.4s CE62C547 sm3partw2 v7.4s, v10.4s, v2.4s CE7CC455 sm3partw2 v21.4s, v2.4s, v28.4s CE64C4F6 sm3partw2 v22.4s, v7.4s, v4.4s CE7FC62B sm3partw2 v11.4s, v17.4s, v31.4s CE65C6BC sm3partw2 v28.4s, v21.4s, v5.4s CE6AC6DA sm3partw2 v26.4s, v22.4s, v10.4s CE64C469 sm3partw2 v9.4s, v3.4s, v4.4s CE7BC750 sm3partw2 v16.4s, v26.4s, v27.4s CE67C7E9 sm3partw2 v9.4s, v31.4s, v7.4s CE71C6DA sm3partw2 v26.4s, v22.4s, v17.4s CE7DC4C2 sm3partw2 v2.4s, v6.4s, v29.4s CE7FC41D sm3partw2 v29.4s, v0.4s, v31.4s // SM3SS1_VVV4_crypto4 11001110010xxxxx0xxxxxxxxxxxxxxx CE4E66E1 sm3ss1 v1.4s, v23.4s, v14.4s, v25.4s CE4F4DA4 sm3ss1 v4.4s, v13.4s, v15.4s, v19.4s CE5B3BA2 sm3ss1 v2.4s, v29.4s, v27.4s, v14.4s CE4B29E6 sm3ss1 v6.4s, v15.4s, v11.4s, v10.4s CE512141 sm3ss1 v1.4s, v10.4s, v17.4s, v8.4s CE5341CB sm3ss1 v11.4s, v14.4s, v19.4s, v16.4s CE540887 sm3ss1 v7.4s, v4.4s, v20.4s, v2.4s CE565FB2 sm3ss1 v18.4s, v29.4s, v22.4s, v23.4s CE4D15D0 sm3ss1 v16.4s, v14.4s, v13.4s, v5.4s CE432BEF sm3ss1 v15.4s, v31.4s, v3.4s, v10.4s CE546C58 sm3ss1 v24.4s, v2.4s, v20.4s, v27.4s CE53596B sm3ss1 v11.4s, v11.4s, v19.4s, v22.4s CE5B0890 sm3ss1 v16.4s, v4.4s, v27.4s, v2.4s CE513998 sm3ss1 v24.4s, v12.4s, v17.4s, v14.4s CE5A2A6C sm3ss1 v12.4s, v19.4s, v26.4s, v10.4s CE5C301F sm3ss1 v31.4s, v0.4s, v28.4s, v12.4s // SM3TT1A_VVV4_crypto3_imm2 11001110010xxxxx10xx00xxxxxxxxxx CE5FB3F5 sm3tt1a v21.4s, v31.4s, v31.s[3] CE499057 sm3tt1a v23.4s, v2.4s, v9.s[1] CE40B029 sm3tt1a v9.4s, v1.4s, v0.s[3] CE56A243 sm3tt1a v3.4s, v18.4s, v22.s[2] CE56A286 sm3tt1a v6.4s, v20.4s, v22.s[2] CE5382E8 sm3tt1a v8.4s, v23.4s, v19.s[0] CE4992E0 sm3tt1a v0.4s, v23.4s, v9.s[1] CE50A146 sm3tt1a v6.4s, v10.4s, v16.s[2] CE449279 sm3tt1a v25.4s, v19.4s, v4.s[1] CE44A258 sm3tt1a v24.4s, v18.4s, v4.s[2] CE5F9188 sm3tt1a v8.4s, v12.4s, v31.s[1] CE50B174 sm3tt1a v20.4s, v11.4s, v16.s[3] CE4AA234 sm3tt1a v20.4s, v17.4s, v10.s[2] CE58B1C3 sm3tt1a v3.4s, v14.4s, v24.s[3] CE5A909B sm3tt1a v27.4s, v4.4s, v26.s[1] CE42803E sm3tt1a v30.4s, v1.4s, v2.s[0] // SM3TT1B_VVV4_crypto3_imm2 11001110010xxxxx10xx01xxxxxxxxxx CE43A604 sm3tt1b v4.4s, v16.4s, v3.s[2] CE5D9485 sm3tt1b v5.4s, v4.4s, v29.s[1] CE47B652 sm3tt1b v18.4s, v18.4s, v7.s[3] CE4C94BE sm3tt1b v30.4s, v5.4s, v12.s[1] CE4BB6C2 sm3tt1b v2.4s, v22.4s, v11.s[3] CE51876F sm3tt1b v15.4s, v27.4s, v17.s[0] CE5F866B sm3tt1b v11.4s, v19.4s, v31.s[0] CE46A7CB sm3tt1b v11.4s, v30.4s, v6.s[2] CE41855F sm3tt1b v31.4s, v10.4s, v1.s[0] CE4097E4 sm3tt1b v4.4s, v31.4s, v0.s[1] CE4086A2 sm3tt1b v2.4s, v21.4s, v0.s[0] CE45A731 sm3tt1b v17.4s, v25.4s, v5.s[2] CE4AA4A5 sm3tt1b v5.4s, v5.4s, v10.s[2] CE43A488 sm3tt1b v8.4s, v4.4s, v3.s[2] CE5485AB sm3tt1b v11.4s, v13.4s, v20.s[0] CE52A66D sm3tt1b v13.4s, v19.4s, v18.s[2] // SM3TT2A_VVV4_crypto3_imm2 11001110010xxxxx10xx10xxxxxxxxxx CE539AC3 sm3tt2a v3.4s, v22.4s, v19.s[1] CE5ABAF5 sm3tt2a v21.4s, v23.4s, v26.s[3] CE489B75 sm3tt2a v21.4s, v27.4s, v8.s[1] CE538942 sm3tt2a v2.4s, v10.4s, v19.s[0] CE4199E3 sm3tt2a v3.4s, v15.4s, v1.s[1] CE5FA87B sm3tt2a v27.4s, v3.4s, v31.s[2] CE4FAA3A sm3tt2a v26.4s, v17.4s, v15.s[2] CE48BB05 sm3tt2a v5.4s, v24.4s, v8.s[3] CE498935 sm3tt2a v21.4s, v9.4s, v9.s[0] CE4AAB5E sm3tt2a v30.4s, v26.4s, v10.s[2] CE4C9BFE sm3tt2a v30.4s, v31.4s, v12.s[1] CE5FAA0F sm3tt2a v15.4s, v16.4s, v31.s[2] CE43ABC7 sm3tt2a v7.4s, v30.4s, v3.s[2] CE5D9B45 sm3tt2a v5.4s, v26.4s, v29.s[1] CE439834 sm3tt2a v20.4s, v1.4s, v3.s[1] CE5BAB4E sm3tt2a v14.4s, v26.4s, v27.s[2] // SM3TT2B_VVV_crypto3_imm2 11001110010xxxxx1xxxxxxxxxxxxxxx CE5AACE4 sm3tt2b v4.4s, v7.4s, v26.s[2] CE489D28 sm3tt2b v8.4s, v9.4s, v8.s[1] CE4BBF43 sm3tt2b v3.4s, v26.4s, v11.s[3] CE499C2D sm3tt2b v13.4s, v1.4s, v9.s[1] CE50BD1F sm3tt2b v31.4s, v8.4s, v16.s[3] CE4D9EDA sm3tt2b v26.4s, v22.4s, v13.s[1] CE5F8FF6 sm3tt2b v22.4s, v31.4s, v31.s[0] CE45BEF3 sm3tt2b v19.4s, v23.4s, v5.s[3] CE548D23 sm3tt2b v3.4s, v9.4s, v20.s[0] CE4EAC6E sm3tt2b v14.4s, v3.4s, v14.s[2] CE45BFE4 sm3tt2b v4.4s, v31.4s, v5.s[3] CE439D52 sm3tt2b v18.4s, v10.4s, v3.s[1] CE5E8FBB sm3tt2b v27.4s, v29.4s, v30.s[0] CE5F8FC6 sm3tt2b v6.4s, v30.4s, v31.s[0] CE5E9FED sm3tt2b v13.4s, v31.4s, v30.s[1] CE5F8ECE sm3tt2b v14.4s, v22.4s, v31.s[0] // SM4EKEY_VVV4_cryptosha512_3 11001110011xxxxx110010xxxxxxxxxx CE7ECA16 sm4ekey v22.4s, v16.4s, v30.4s CE68CB37 sm4ekey v23.4s, v25.4s, v8.4s CE7CCA0E sm4ekey v14.4s, v16.4s, v28.4s CE72CAC6 sm4ekey v6.4s, v22.4s, v18.4s CE6CCA77 sm4ekey v23.4s, v19.4s, v12.4s CE67CA52 sm4ekey v18.4s, v18.4s, v7.4s CE61CBAD sm4ekey v13.4s, v29.4s, v1.4s CE7ECB5C sm4ekey v28.4s, v26.4s, v30.4s CE7ACB2C sm4ekey v12.4s, v25.4s, v26.4s CE66C92E sm4ekey v14.4s, v9.4s, v6.4s CE6BCA10 sm4ekey v16.4s, v16.4s, v11.4s CE68CA8D sm4ekey v13.4s, v20.4s, v8.4s CE78C999 sm4ekey v25.4s, v12.4s, v24.4s CE73C9F9 sm4ekey v25.4s, v15.4s, v19.4s CE66C81D sm4ekey v29.4s, v0.4s, v6.4s CE6BC91C sm4ekey v28.4s, v8.4s, v11.4s // SM4E_VV4_cryptosha512_2 1100111011000000100001xxxxxxxxxx CEC084D8 sm4e v24.4s, v6.4s CEC08595 sm4e v21.4s, v12.4s CEC08708 sm4e v8.4s, v24.4s CEC08673 sm4e v19.4s, v19.4s CEC085C1 sm4e v1.4s, v14.4s CEC087BA sm4e v26.4s, v29.4s CEC0869C sm4e v28.4s, v20.4s CEC0855A sm4e v26.4s, v10.4s CEC08578 sm4e v24.4s, v11.4s CEC087A5 sm4e v5.4s, v29.4s CEC08452 sm4e v18.4s, v2.4s CEC086B0 sm4e v16.4s, v21.4s CEC085F1 sm4e v17.4s, v15.4s CEC085D4 sm4e v20.4s, v14.4s CEC085EC sm4e v12.4s, v15.4s CEC0878E sm4e v14.4s, v28.4s // SMADDL_64WA_dp_3src 10011011001xxxxx0xxxxxxxxxxxxxxx 9B2B0AF3 smaddl x19, w23, w11, x2 9B2D0FF2 smaddl x18, wzr, w13, x3 9B35587A smaddl x26, w3, w21, x22 9B2A45AA smaddl x10, w13, w10, x17 9B2657E5 smaddl x5, wzr, w6, x21 9B326015 smaddl x21, w0, w18, x24 9B216879 smaddl x25, w3, w1, x26 9B221C66 smaddl x6, w3, w2, x7 9B3874C9 smaddl x9, w6, w24, x29 9B3A4841 smaddl x1, w2, w26, x18 9B351839 smaddl x25, w1, w21, x6 9B3D3971 smaddl x17, w11, w29, x14 9B32210B smaddl x11, w8, w18, x8 9B221D34 smaddl x20, w9, w2, x7 9B2406BB smaddl x27, w21, w4, x1 9B33319B smaddl x27, w12, w19, x12 // SMAXP_asimdsame_only 0x001110xx1xxxxx1010xxxxxxxxxxxx 0EB3A5E8 smaxp v8.2s, v15.2s, v19.2s 4EB2A5F0 smaxp v16.4s, v15.4s, v18.4s 0E34A7AF smaxp v15.8b, v29.8b, v20.8b 4E34A77D smaxp v29.16b, v27.16b, v20.16b 4EB3A6A4 smaxp v4.4s, v21.4s, v19.4s 0EB6A47F smaxp v31.2s, v3.2s, v22.2s 0E78A77B smaxp v27.4h, v27.4h, v24.4h 4E3DA56B smaxp v11.16b, v11.16b, v29.16b 0E76A7F6 smaxp v22.4h, v31.4h, v22.4h 4EACA756 smaxp v22.4s, v26.4s, v12.4s 4E7EA492 smaxp v18.8h, v4.8h, v30.8h 0E3BA69F smaxp v31.8b, v20.8b, v27.8b 0E7AA5E4 smaxp v4.4h, v15.4h, v26.4h 0E66A44D smaxp v13.4h, v2.4h, v6.4h 0EA0A6F7 smaxp v23.2s, v23.2s, v0.2s 0E68A687 smaxp v7.4h, v20.4h, v8.4h // SMAXV_asimdall_only 0x001110xx110000101010xxxxxxxxxx 0E70AB8C smaxv h12, v28.4h 0E30AB87 smaxv b7, v28.8b 4EB0AB7A smaxv s26, v27.4s 0E30ABF9 smaxv b25, v31.8b 0E30AB8C smaxv b12, v28.8b 0E30ABAE smaxv b14, v29.8b 4EB0A8AD smaxv s13, v5.4s 4E70AA8D smaxv h13, v20.8h 4E70A95E smaxv h30, v10.8h 4E30AB97 smaxv b23, v28.16b 0E30AA7A smaxv b26, v19.8b 0E70A848 smaxv h8, v2.4h 0E70A928 smaxv h8, v9.4h 4EB0AAF3 smaxv s19, v23.4s 4E30AA62 smaxv b2, v19.16b 4EB0A913 smaxv s19, v8.4s // SMAX_asimdsame_only 0x001110xx1xxxxx011001xxxxxxxxxx 4EBF666C smax v12.4s, v19.4s, v31.4s 4E7767D3 smax v19.8h, v30.8h, v23.8h 0EAD67BB smax v27.2s, v29.2s, v13.2s 0E39644F smax v15.8b, v2.8b, v25.8b 4E2066CC smax v12.16b, v22.16b, v0.16b 4E376744 smax v4.16b, v26.16b, v23.16b 4E276731 smax v17.16b, v25.16b, v7.16b 4EA36731 smax v17.4s, v25.4s, v3.4s 0EB06683 smax v3.2s, v20.2s, v16.2s 4E626589 smax v9.8h, v12.8h, v2.8h 4EB465A6 smax v6.4s, v13.4s, v20.4s 4E2967C7 smax v7.16b, v30.16b, v9.16b 0E7B66CD smax v13.4h, v22.4h, v27.4h 0EA865EB smax v11.2s, v15.2s, v8.2s 0E3B6421 smax v1.8b, v1.8b, v27.8b 4EBC64CA smax v10.4s, v6.4s, v28.4s // SMC_EX_exception 11010100000xxxxxxxxxxxxxxxx00011 D419FA83 smc #0xcfd4 D4185FE3 smc #0xc2ff D40FBA23 smc #0x7dd1 D40F7623 smc #0x7bb1 D40CFA83 smc #0x67d4 D40ADD23 smc #0x56e9 D40C7F83 smc #0x63fc D406F9A3 smc #0x37cd D413A943 smc #0x9d4a D40BFDC3 smc #0x5fee D4165903 smc #0xb2c8 D4076423 smc #0x3b21 D40FAEC3 smc #0x7d76 D40D1F03 smc #0x68f8 D41E7343 smc #0xf39a D41FCD83 smc #0xfe6c // SMINP_asimdsame_only 0x001110xx1xxxxx101xxxxxxxxxxxxx 0E20ADF1 sminp v17.8b, v15.8b, v0.8b 4EADAC2D sminp v13.4s, v1.4s, v13.4s 0E3CAFB7 sminp v23.8b, v29.8b, v28.8b 4EA6ACE8 sminp v8.4s, v7.4s, v6.4s 4EA0AFCF sminp v15.4s, v30.4s, v0.4s 0E77AD03 sminp v3.4h, v8.4h, v23.4h 4EBAAC18 sminp v24.4s, v0.4s, v26.4s 4E2DAD4C sminp v12.16b, v10.16b, v13.16b 0E71AD05 sminp v5.4h, v8.4h, v17.4h 0EA0AFF8 sminp v24.2s, v31.2s, v0.2s 0E77ADCD sminp v13.4h, v14.4h, v23.4h 0E36AE94 sminp v20.8b, v20.8b, v22.8b 0EAAAF57 sminp v23.2s, v26.2s, v10.2s 0EBDACF3 sminp v19.2s, v7.2s, v29.2s 4EAFACB8 sminp v24.4s, v5.4s, v15.4s 4E25AE29 sminp v9.16b, v17.16b, v5.16b // SMINV_asimdall_only 0x001110xx110001101010xxxxxxxxxx 4E31A817 sminv b23, v0.16b 4E71A9E9 sminv h9, v15.8h 4E31A984 sminv b4, v12.16b 4E31A806 sminv b6, v0.16b 4E71AB55 sminv h21, v26.8h 4E31A8A0 sminv b0, v5.16b 4E31A850 sminv b16, v2.16b 4E31AA44 sminv b4, v18.16b 4E71AB4B sminv h11, v26.8h 0E71A929 sminv h9, v9.4h 4E71AAED sminv h13, v23.8h 0E31AA78 sminv b24, v19.8b 4E71AAC2 sminv h2, v22.8h 4E71AB27 sminv h7, v25.8h 4EB1A9D3 sminv s19, v14.4s 4E31AB58 sminv b24, v26.16b // SMIN_asimdsame_only 0x001110xx1xxxxx011011xxxxxxxxxx 4EBF6E95 smin v21.4s, v20.4s, v31.4s 0EA36DB7 smin v23.2s, v13.2s, v3.2s 4EA36CE5 smin v5.4s, v7.4s, v3.4s 4E656CC4 smin v4.8h, v6.8h, v5.8h 0E716C8F smin v15.4h, v4.4h, v17.4h 4EBA6D6D smin v13.4s, v11.4s, v26.4s 4EAE6E52 smin v18.4s, v18.4s, v14.4s 4E726E85 smin v5.8h, v20.8h, v18.8h 4E796C50 smin v16.8h, v2.8h, v25.8h 4EB86CA5 smin v5.4s, v5.4s, v24.4s 4EA86DB8 smin v24.4s, v13.4s, v8.4s 0E736E8C smin v12.4h, v20.4h, v19.4h 4EAC6D66 smin v6.4s, v11.4s, v12.4s 0EB06EA1 smin v1.2s, v21.2s, v16.2s 4EB16DA6 smin v6.4s, v13.4s, v17.4s 4E3B6E9C smin v28.16b, v20.16b, v27.16b // SMLAL_asimddiff_L 0x001110xx1xxxxx100000xxxxxxxxxx 4E6283C5 smlal2 v5.4s, v30.8h, v2.8h 0E2D83DA smlal v26.8h, v30.8b, v13.8b 4E79832D smlal2 v13.4s, v25.8h, v25.8h 4EAF8023 smlal2 v3.2d, v1.4s, v15.4s 4EBD811D smlal2 v29.2d, v8.4s, v29.4s 0EBA82E5 smlal v5.2d, v23.2s, v26.2s 0E3883B3 smlal v19.8h, v29.8b, v24.8b 0E7880E5 smlal v5.4s, v7.4h, v24.4h 4EA48303 smlal2 v3.2d, v24.4s, v4.4s 0E768290 smlal v16.4s, v20.4h, v22.4h 0E61801B smlal v27.4s, v0.4h, v1.4h 4EA08142 smlal2 v2.2d, v10.4s, v0.4s 0E6B81B3 smlal v19.4s, v13.4h, v11.4h 4E678057 smlal2 v23.4s, v2.8h, v7.8h 4E288242 smlal2 v2.8h, v18.16b, v8.16b 4E368201 smlal2 v1.8h, v16.16b, v22.16b // SMLAL_asimdelem_L 0x001111xxxxxxxx0010xxxxxxxxxxxx 4F6A2266 smlal2 v6.4s, v19.8h, v10.h[2] 0F622B90 smlal v16.4s, v28.4h, v2.h[6] 4F442977 smlal2 v23.4s, v11.8h, v4.h[4] 0FAC200F smlal v15.2d, v0.2s, v12.s[1] 4F632ADA smlal2 v26.4s, v22.8h, v3.h[6] 0FA8236A smlal v10.2d, v27.2s, v8.s[1] 0FA5223B smlal v27.2d, v17.2s, v5.s[1] 0FA12975 smlal v21.2d, v11.2s, v1.s[3] 4F662824 smlal2 v4.4s, v1.8h, v6.h[6] 4F9B2863 smlal2 v3.2d, v3.4s, v27.s[2] 4F7E23E5 smlal2 v5.4s, v31.8h, v14.h[3] 0F8F2BDD smlal v29.2d, v30.2s, v15.s[2] 0F742BD1 smlal v17.4s, v30.4h, v4.h[7] 4F752A6B smlal2 v11.4s, v19.8h, v5.h[7] 0FB1281B smlal v27.2d, v0.2s, v17.s[3] 0FA72882 smlal v2.2d, v4.2s, v7.s[3] // SMLSL_asimddiff_L 0x001110xx1xxxxx101000xxxxxxxxxx 0E3EA3AE smlsl v14.8h, v29.8b, v30.8b 4E3CA013 smlsl2 v19.8h, v0.16b, v28.16b 0EA6A222 smlsl v2.2d, v17.2s, v6.2s 4E7DA2E1 smlsl2 v1.4s, v23.8h, v29.8h 0E29A096 smlsl v22.8h, v4.8b, v9.8b 0E6DA1A6 smlsl v6.4s, v13.4h, v13.4h 0E65A29C smlsl v28.4s, v20.4h, v5.4h 0EA8A059 smlsl v25.2d, v2.2s, v8.2s 4EB4A2F8 smlsl2 v24.2d, v23.4s, v20.4s 4E28A357 smlsl2 v23.8h, v26.16b, v8.16b 4E72A32F smlsl2 v15.4s, v25.8h, v18.8h 4E3AA343 smlsl2 v3.8h, v26.16b, v26.16b 0E35A1F8 smlsl v24.8h, v15.8b, v21.8b 0EACA0C1 smlsl v1.2d, v6.2s, v12.2s 4EADA1FA smlsl2 v26.2d, v15.4s, v13.4s 0EA4A32C smlsl v12.2d, v25.2s, v4.2s // SMLSL_asimdelem_L 0x001111xxxxxxxx0110x0xxxxxxxxxx 4FAD6180 smlsl2 v0.2d, v12.4s, v13.s[1] 0FBA6B77 smlsl v23.2d, v27.2s, v26.s[3] 0F936898 smlsl v24.2d, v4.2s, v19.s[2] 4F916306 smlsl2 v6.2d, v24.4s, v17.s[0] 4F7C689C smlsl2 v28.4s, v4.8h, v12.h[7] 0FA268C4 smlsl v4.2d, v6.2s, v2.s[3] 4F556861 smlsl2 v1.4s, v3.8h, v5.h[5] 4F8C684C smlsl2 v12.2d, v2.4s, v12.s[2] 0F65622A smlsl v10.4s, v17.4h, v5.h[2] 4FBB61B1 smlsl2 v17.2d, v13.4s, v27.s[1] 4F8063EF smlsl2 v15.2d, v31.4s, v0.s[0] 4F89638B smlsl2 v11.2d, v28.4s, v9.s[0] 0F9562F0 smlsl v16.2d, v23.2s, v21.s[0] 0F61622B smlsl v11.4s, v17.4h, v1.h[2] 0F916A4B smlsl v11.2d, v18.2s, v17.s[2] 0F486885 smlsl v5.4s, v4.4h, v8.h[4] // SMMLA_asimdsame2_G 01001110100xxxxx101001xxxxxxxxxx // SMNEGL_SMSUBL_64WA_dp_3src 10011011001xxxxx111111xxxxxxxxxx 9B2CFC4D smnegl x13, w2, w12 9B21FDB5 smnegl x21, w13, w1 9B3EFD7A smnegl x26, w11, w30 9B25FED6 smnegl x22, w22, w5 9B3DFC8E smnegl x14, w4, w29 9B3DFC26 smnegl x6, w1, w29 9B22FC74 smnegl x20, w3, w2 9B24FCE3 smnegl x3, w7, w4 9B32FECA smnegl x10, w22, w18 9B27FFD8 smnegl x24, w30, w7 9B29FD1F smnegl xzr, w8, w9 9B3EFECC smnegl x12, w22, w30 9B2DFEBA smnegl x26, w21, w13 9B20FE2B smnegl x11, w17, w0 9B30FF8A smnegl x10, w28, w16 9B25FFEA smnegl x10, wzr, w5 // SMOV_asimdins_W_w 00001110000xxxxx001011xxxxxxxxxx 0E062EE2 smov w2, v23.h[1] 0E1D2C09 smov w9, v0.b[14] 0E1D2FFB smov w27, v31.b[14] 0E0D2D29 smov w9, v9.b[6] 0E0B2F24 smov w4, v25.b[5] 0E0E2F9B smov w27, v28.h[3] 0E162EF2 smov w18, v23.h[5] 0E0B2FF7 smov w23, v31.b[5] 0E132C91 smov w17, v4.b[9] 0E1E2DD5 smov w21, v14.h[7] 0E162D12 smov w18, v8.h[5] 0E1E2E18 smov w24, v16.h[7] 0E012C96 smov w22, v4.b[0] 0E1E2E33 smov w19, v17.h[7] 0E092E8F smov w15, v20.b[4] 0E172C05 smov w5, v0.b[11] // SMOV_asimdins_X_x 01001110000xxxxx001011xxxxxxxxxx 4E1B2FD9 smov x25, v30.b[13] 4E1C2C8B smov x11, v4.s[3] 4E172E5B smov x27, v18.b[11] 4E072CDF smov xzr, v6.b[3] 4E1C2C69 smov x9, v3.s[3] 4E0E2CB1 smov x17, v5.h[3] 4E0B2F1A smov x26, v24.b[5] 4E012CE3 smov x3, v7.b[0] 4E012F3A smov x26, v25.b[0] 4E1E2E96 smov x22, v20.h[7] 4E172E78 smov x24, v19.b[11] 4E0F2D33 smov x19, v9.b[7] 4E192E49 smov x9, v18.b[12] 4E162EDB smov x27, v22.h[5] 4E022DD9 smov x25, v14.h[0] 4E0B2D70 smov x16, v11.b[5] // SMSUBL_64WA_dp_3src 10011011001xxxxx1xxxxxxxxxxxxxxx 9B30C8DD smsubl x29, w6, w16, x18 9B308E5A smsubl x26, w18, w16, x3 9B33BC51 smsubl x17, w2, w19, x15 9B37A160 smsubl x0, w11, w23, x8 9B3FC8A6 smsubl x6, w5, wzr, x18 9B349754 smsubl x20, w26, w20, x5 9B38CC10 smsubl x16, w0, w24, x19 9B34F5CF smsubl x15, w14, w20, x29 9B3FA28F smsubl x15, w20, wzr, x8 9B2E9780 smsubl x0, w28, w14, x5 9B31AFF3 smsubl x19, wzr, w17, x11 9B2F930A smsubl x10, w24, w15, x4 9B28F791 smsubl x17, w28, w8, x29 9B35BCDC smsubl x28, w6, w21, x15 9B24AC10 smsubl x16, w0, w4, x11 9B3C9746 smsubl x6, w26, w28, x5 // SMULH_64_dp_3src 10011011010xxxxx0xxxxxxxxxxxxxxx 9B47643B smulh x27, x1, x7 9B4F14FB smulh x27, x7, x15 9B4D3B73 smulh x19, x27, x13 9B51074D smulh x13, x26, x17 9B4B4756 smulh x22, x26, x11 9B582503 smulh x3, x8, x24 9B5A7325 smulh x5, x25, x26 9B4C58CC smulh x12, x6, x12 9B415B95 smulh x21, x28, x1 9B4251F9 smulh x25, x15, x2 9B44733E smulh x30, x25, x4 9B4C3B0D smulh x13, x24, x12 9B49202E smulh x14, x1, x9 9B5919B3 smulh x19, x13, x25 9B4B106B smulh x11, x3, x11 9B4F375C smulh x28, x26, x15 // SMULL_SMADDL_64WA_dp_3src 10011011001xxxxx011111xxxxxxxxxx 9B337F45 smull x5, w26, w19 9B2D7F01 smull x1, w24, w13 9B3B7DDC smull x28, w14, w27 9B3F7C18 smull x24, w0, wzr 9B3F7D84 smull x4, w12, wzr 9B287E59 smull x25, w18, w8 9B247D36 smull x22, w9, w4 9B2A7EEE smull x14, w23, w10 9B3C7CE8 smull x8, w7, w28 9B2D7C0C smull x12, w0, w13 9B267EA1 smull x1, w21, w6 9B3B7E50 smull x16, w18, w27 9B3D7CC1 smull x1, w6, w29 9B2B7C1F smull xzr, w0, w11 9B2D7E04 smull x4, w16, w13 9B237E2D smull x13, w17, w3 // SMULL_asimddiff_L 0x001110xx1xxxxx1100xxxxxxxxxxxx 0E32C2C4 smull v4.8h, v22.8b, v18.8b 4E78C1A1 smull2 v1.4s, v13.8h, v24.8h 0E7FC066 smull v6.4s, v3.4h, v31.4h 4EB4C3F0 smull2 v16.2d, v31.4s, v20.4s 0E3AC251 smull v17.8h, v18.8b, v26.8b 0E28C327 smull v7.8h, v25.8b, v8.8b 0EABC323 smull v3.2d, v25.2s, v11.2s 0EB7C28D smull v13.2d, v20.2s, v23.2s 0E2BC241 smull v1.8h, v18.8b, v11.8b 4EA5C308 smull2 v8.2d, v24.4s, v5.4s 0E3DC1C7 smull v7.8h, v14.8b, v29.8b 4E61C0EF smull2 v15.4s, v7.8h, v1.8h 4E7DC288 smull2 v8.4s, v20.8h, v29.8h 4E34C2EC smull2 v12.8h, v23.16b, v20.16b 4E68C34E smull2 v14.4s, v26.8h, v8.8h 4EBEC3A9 smull2 v9.2d, v29.4s, v30.4s // SMULL_asimdelem_L 0x001111xxxxxxxx1010xxxxxxxxxxxx 0F4CA106 smull v6.4s, v8.4h, v12.h[0] 4FA8A3EB smull2 v11.2d, v31.4s, v8.s[1] 0F93A86B smull v11.2d, v3.2s, v19.s[2] 0F57A81B smull v27.4s, v0.4h, v7.h[5] 0FABAA77 smull v23.2d, v19.2s, v11.s[3] 4F78A308 smull2 v8.4s, v24.8h, v8.h[3] 4F7DAAAA smull2 v10.4s, v21.8h, v13.h[7] 4FA9A2BE smull2 v30.2d, v21.4s, v9.s[1] 0F7AAA42 smull v2.4s, v18.4h, v10.h[7] 0F84A93E smull v30.2d, v9.2s, v4.s[2] 4FBEA0A2 smull2 v2.2d, v5.4s, v30.s[1] 0FBCABBB smull v27.2d, v29.2s, v28.s[3] 0FBEA175 smull v21.2d, v11.2s, v30.s[1] 4F81A342 smull2 v2.2d, v26.4s, v1.s[0] 4FBCAB0E smull2 v14.2d, v24.4s, v28.s[3] 4F94AA60 smull2 v0.2d, v19.4s, v20.s[2] // SQABS_asimdmisc_R 0x001110xx100000xxxxxxxxxxxxxxxx 0EA07B12 sqabs v18.2s, v24.2s 0EA07BCE sqabs v14.2s, v30.2s 0EA07830 sqabs v16.2s, v1.2s 0E607B6D sqabs v13.4h, v27.4h 4EE07AFC sqabs v28.2d, v23.2d 4E60781A sqabs v26.8h, v0.8h 0E607A95 sqabs v21.4h, v20.4h 4EE07A7A sqabs v26.2d, v19.2d 0EA0788F sqabs v15.2s, v4.2s 4EE07BA3 sqabs v3.2d, v29.2d 4E607AC9 sqabs v9.8h, v22.8h 0E207824 sqabs v4.8b, v1.8b 4E60788D sqabs v13.8h, v4.8h 4EE0785B sqabs v27.2d, v2.2d 4E207A94 sqabs v20.16b, v20.16b 0E607AA6 sqabs v6.4h, v21.4h // SQABS_asisdmisc_R 01011110xx100000011110xxxxxxxxxx 5E607872 sqabs h18, h3 5E2079BD sqabs b29, b13 5EE07B6E sqabs d14, d27 5E607892 sqabs h18, h4 5EE07B55 sqabs d21, d26 5EA079C6 sqabs s6, s14 5E607BF6 sqabs h22, h31 5EE07B7D sqabs d29, d27 5EE078D6 sqabs d22, d6 5E207810 sqabs b16, b0 5EA0797E sqabs s30, s11 5EE07994 sqabs d20, d12 5EA07AF4 sqabs s20, s23 5E607B88 sqabs h8, h28 5EE07927 sqabs d7, d9 5EE07B34 sqabs d20, d25 // SQADD_asimdsame_only 0x001110xx1xxxxx000xxxxxxxxxxxxx 4E6F0F30 sqadd v16.8h, v25.8h, v15.8h 4E7B0FCC sqadd v12.8h, v30.8h, v27.8h 4EEF0DC8 sqadd v8.2d, v14.2d, v15.2d 4E300EC6 sqadd v6.16b, v22.16b, v16.16b 4EAB0EBC sqadd v28.4s, v21.4s, v11.4s 0E200CDE sqadd v30.8b, v6.8b, v0.8b 0EB20DA5 sqadd v5.2s, v13.2s, v18.2s 4EA30DEE sqadd v14.4s, v15.4s, v3.4s 4EA90D39 sqadd v25.4s, v9.4s, v9.4s 4EA70E72 sqadd v18.4s, v19.4s, v7.4s 0E290DDB sqadd v27.8b, v14.8b, v9.8b 0E3B0C21 sqadd v1.8b, v1.8b, v27.8b 4E600E5B sqadd v27.8h, v18.8h, v0.8h 4EEB0C74 sqadd v20.2d, v3.2d, v11.2d 4EB80FC6 sqadd v6.4s, v30.4s, v24.4s 0E270C1F sqadd v31.8b, v0.8b, v7.8b // SQADD_asisdsame_only 01011110xx1xxxxx000011xxxxxxxxxx 5E230C14 sqadd b20, b0, b3 5E720EF4 sqadd h20, h23, h18 5EBE0FA9 sqadd s9, s29, s30 5E700F7F sqadd h31, h27, h16 5E670F8F sqadd h15, h28, h7 5E620C06 sqadd h6, h0, h2 5E330FC1 sqadd b1, b30, b19 5EA00C29 sqadd s9, s1, s0 5EFD0F91 sqadd d17, d28, d29 5E680C94 sqadd h20, h4, h8 5EA60FE3 sqadd s3, s31, s6 5EE70E4D sqadd d13, d18, d7 5EBA0E82 sqadd s2, s20, s26 5E220C90 sqadd b16, b4, b2 5EB60E7E sqadd s30, s19, s22 5E260F99 sqadd b25, b28, b6 // SQDMLAL_asimddiff_L 0x001110xx1xxxxx100100xxxxxxxxxx 4E779159 sqdmlal2 v25.4s, v10.8h, v23.8h 4E7D934D sqdmlal2 v13.4s, v26.8h, v29.8h 4EB193FE sqdmlal2 v30.2d, v31.4s, v17.4s 0EA59127 sqdmlal v7.2d, v9.2s, v5.2s 0E729018 sqdmlal v24.4s, v0.4h, v18.4h 0E679237 sqdmlal v23.4s, v17.4h, v7.4h 4E759229 sqdmlal2 v9.4s, v17.8h, v21.8h 0E7D907B sqdmlal v27.4s, v3.4h, v29.4h 0EA1907D sqdmlal v29.2d, v3.2s, v1.2s 4EBD9011 sqdmlal2 v17.2d, v0.4s, v29.4s 0E7090FA sqdmlal v26.4s, v7.4h, v16.4h 4EAE9380 sqdmlal2 v0.2d, v28.4s, v14.4s 0E669035 sqdmlal v21.4s, v1.4h, v6.4h 0E7E92A2 sqdmlal v2.4s, v21.4h, v30.4h 4E7391EE sqdmlal2 v14.4s, v15.8h, v19.8h 0E619073 sqdmlal v19.4s, v3.4h, v1.4h // SQDMLAL_asimdelem_L 0x001111xxxxxxxx0011xxxxxxxxxxxx 0FA23067 sqdmlal v7.2d, v3.2s, v2.s[1] 0F8F307F sqdmlal v31.2d, v3.2s, v15.s[0] 0FAE3A41 sqdmlal v1.2d, v18.2s, v14.s[3] 0F92311C sqdmlal v28.2d, v8.2s, v18.s[0] 0FB23A1D sqdmlal v29.2d, v16.2s, v18.s[3] 4FBE3222 sqdmlal2 v2.2d, v17.4s, v30.s[1] 4F4D30D0 sqdmlal2 v16.4s, v6.8h, v13.h[0] 4F4639C1 sqdmlal2 v1.4s, v14.8h, v6.h[4] 4F4A339F sqdmlal2 v31.4s, v28.8h, v10.h[0] 0F6F3991 sqdmlal v17.4s, v12.4h, v15.h[6] 0F443BDC sqdmlal v28.4s, v30.4h, v4.h[4] 4F793B1C sqdmlal2 v28.4s, v24.8h, v9.h[7] 4F7C31C7 sqdmlal2 v7.4s, v14.8h, v12.h[3] 4F8D3B6B sqdmlal2 v11.2d, v27.4s, v13.s[2] 0F4B31BC sqdmlal v28.4s, v13.4h, v11.h[0] 0F7531ED sqdmlal v13.4s, v15.4h, v5.h[3] // SQDMLAL_asisddiff_only 01011110xx1xxxxx100100xxxxxxxxxx 5EB99221 sqdmlal d1, s17, s25 5E6D9398 sqdmlal s24, h28, h13 5EB39065 sqdmlal d5, s3, s19 5E7993A9 sqdmlal s9, h29, h25 5E6B9194 sqdmlal s20, h12, h11 5E6A9040 sqdmlal s0, h2, h10 5E66937A sqdmlal s26, h27, h6 5E6D92BD sqdmlal s29, h21, h13 5EB49192 sqdmlal d18, s12, s20 5EA292AB sqdmlal d11, s21, s2 5EA190E0 sqdmlal d0, s7, s1 5EAD90E1 sqdmlal d1, s7, s13 5E659181 sqdmlal s1, h12, h5 5E649263 sqdmlal s3, h19, h4 5EBA9203 sqdmlal d3, s16, s26 5E6B90AB sqdmlal s11, h5, h11 // SQDMLAL_asisdelem_L 01011111xxxxxxxx0011x0xxxxxxxxxx 5F6F3AAA sqdmlal s10, h21, v15.h[6] 5FAE330B sqdmlal d11, s24, v14.s[1] 5F7D301B sqdmlal s27, h0, v13.h[3] 5F7E394A sqdmlal s10, h10, v14.h[7] 5F9A3B9E sqdmlal d30, s28, v26.s[2] 5F9630EF sqdmlal d15, s7, v22.s[0] 5F8233BC sqdmlal d28, s29, v2.s[0] 5F6F3808 sqdmlal s8, h0, v15.h[6] 5F493881 sqdmlal s1, h4, v9.h[4] 5F463340 sqdmlal s0, h26, v6.h[0] 5F6B39E1 sqdmlal s1, h15, v11.h[6] 5F85338F sqdmlal d15, s28, v5.s[0] 5F403183 sqdmlal s3, h12, v0.h[0] 5F663B2C sqdmlal s12, h25, v6.h[6] 5F5B395D sqdmlal s29, h10, v11.h[5] 5F4730B9 sqdmlal s25, h5, v7.h[0] // SQDMLSL_asimddiff_L 0x001110xx1xxxxx101100xxxxxxxxxx 4E63B1C4 sqdmlsl2 v4.4s, v14.8h, v3.8h 0E6BB0BC sqdmlsl v28.4s, v5.4h, v11.4h 0EA0B04C sqdmlsl v12.2d, v2.2s, v0.2s 0EAFB14B sqdmlsl v11.2d, v10.2s, v15.2s 0EB5B291 sqdmlsl v17.2d, v20.2s, v21.2s 4E78B3A2 sqdmlsl2 v2.4s, v29.8h, v24.8h 4E65B224 sqdmlsl2 v4.4s, v17.8h, v5.8h 4EB1B128 sqdmlsl2 v8.2d, v9.4s, v17.4s 0E62B033 sqdmlsl v19.4s, v1.4h, v2.4h 4EAEB2BA sqdmlsl2 v26.2d, v21.4s, v14.4s 0EBAB1CF sqdmlsl v15.2d, v14.2s, v26.2s 4E66B334 sqdmlsl2 v20.4s, v25.8h, v6.8h 4EAFB1F3 sqdmlsl2 v19.2d, v15.4s, v15.4s 4EA6B2BD sqdmlsl2 v29.2d, v21.4s, v6.4s 0EB4B2A7 sqdmlsl v7.2d, v21.2s, v20.2s 4E70B273 sqdmlsl2 v19.4s, v19.8h, v16.8h // SQDMLSL_asimdelem_L 0x001111xxxxxxxx0111xxxxxxxxxxxx 0F80799A sqdmlsl v26.2d, v12.2s, v0.s[2] 4FB778C9 sqdmlsl2 v9.2d, v6.4s, v23.s[3] 4F497253 sqdmlsl2 v19.4s, v18.8h, v9.h[0] 4F407ABF sqdmlsl2 v31.4s, v21.8h, v0.h[4] 0F4471A3 sqdmlsl v3.4s, v13.4h, v4.h[0] 4F747909 sqdmlsl2 v9.4s, v8.8h, v4.h[7] 0F5B73B6 sqdmlsl v22.4s, v29.4h, v11.h[1] 0F787234 sqdmlsl v20.4s, v17.4h, v8.h[3] 0F887B81 sqdmlsl v1.2d, v28.2s, v8.s[2] 4FBE798D sqdmlsl2 v13.2d, v12.4s, v30.s[3] 0F897B3C sqdmlsl v28.2d, v25.2s, v9.s[2] 0FBF7177 sqdmlsl v23.2d, v11.2s, v31.s[1] 0FBC7249 sqdmlsl v9.2d, v18.2s, v28.s[1] 4FA673AB sqdmlsl2 v11.2d, v29.4s, v6.s[1] 0FAC7985 sqdmlsl v5.2d, v12.2s, v12.s[3] 0F47711A sqdmlsl v26.4s, v8.4h, v7.h[0] // SQDMLSL_asisddiff_only 01011110xx1xxxxx101100xxxxxxxxxx 5EA5B3C0 sqdmlsl d0, s30, s5 5EAAB0CA sqdmlsl d10, s6, s10 5E77B04C sqdmlsl s12, h2, h23 5EA7B203 sqdmlsl d3, s16, s7 5EB4B1A8 sqdmlsl d8, s13, s20 5E7AB3D8 sqdmlsl s24, h30, h26 5E7FB3BF sqdmlsl s31, h29, h31 5EBCB14B sqdmlsl d11, s10, s28 5EADB06D sqdmlsl d13, s3, s13 5E61B3A8 sqdmlsl s8, h29, h1 5E7FB362 sqdmlsl s2, h27, h31 5EABB3F4 sqdmlsl d20, s31, s11 5E70B121 sqdmlsl s1, h9, h16 5E7CB193 sqdmlsl s19, h12, h28 5EA1B004 sqdmlsl d4, s0, s1 5E60B07D sqdmlsl s29, h3, h0 // SQDMLSL_asisdelem_L 01011111xxxxxxxx0111x0xxxxxxxxxx 5F6F72F5 sqdmlsl s21, h23, v15.h[2] 5F527AE5 sqdmlsl s5, h23, v2.h[5] 5FA3785B sqdmlsl d27, s2, v3.s[3] 5F54738E sqdmlsl s14, h28, v4.h[1] 5F9270B1 sqdmlsl d17, s5, v18.s[0] 5F917904 sqdmlsl d4, s8, v17.s[2] 5F4E7069 sqdmlsl s9, h3, v14.h[0] 5FA0723F sqdmlsl d31, s17, v0.s[1] 5FB27860 sqdmlsl d0, s3, v18.s[3] 5F7B7084 sqdmlsl s4, h4, v11.h[3] 5FAE78A7 sqdmlsl d7, s5, v14.s[3] 5F9F78E4 sqdmlsl d4, s7, v31.s[2] 5FBE72F3 sqdmlsl d19, s23, v30.s[1] 5F447051 sqdmlsl s17, h2, v4.h[0] 5F7878FC sqdmlsl s28, h7, v8.h[7] 5F8772CA sqdmlsl d10, s22, v7.s[0] // SQDMULH_asimdelem_R 0x001111xxxxxxxx1100x0xxxxxxxxxx 4F81C075 sqdmulh v21.4s, v3.4s, v1.s[0] 4F4CC971 sqdmulh v17.8h, v11.8h, v12.h[4] 0F69C1F0 sqdmulh v16.4h, v15.4h, v9.h[2] 0F47C257 sqdmulh v23.4h, v18.4h, v7.h[0] 4F78CA42 sqdmulh v2.8h, v18.8h, v8.h[7] 4FAEC085 sqdmulh v5.4s, v4.4s, v14.s[1] 0FABC8B3 sqdmulh v19.2s, v5.2s, v11.s[3] 0F69C12B sqdmulh v11.4h, v9.4h, v9.h[2] 0F9BC1F4 sqdmulh v20.2s, v15.2s, v27.s[0] 4F57CA75 sqdmulh v21.8h, v19.8h, v7.h[5] 4F80CB0D sqdmulh v13.4s, v24.4s, v0.s[2] 4F99CB5B sqdmulh v27.4s, v26.4s, v25.s[2] 0FB0C1C0 sqdmulh v0.2s, v14.2s, v16.s[1] 4FA5CBB2 sqdmulh v18.4s, v29.4s, v5.s[3] 0F40C39A sqdmulh v26.4h, v28.4h, v0.h[0] 4F52C8FB sqdmulh v27.8h, v7.8h, v2.h[5] // SQDMULH_asimdsame_only 0x001110xx1xxxxx1011xxxxxxxxxxxx 4E62B7F8 sqdmulh v24.8h, v31.8h, v2.8h 4E7BB653 sqdmulh v19.8h, v18.8h, v27.8h 4E79B7ED sqdmulh v13.8h, v31.8h, v25.8h 0E79B4C3 sqdmulh v3.4h, v6.4h, v25.4h 0EBCB4A9 sqdmulh v9.2s, v5.2s, v28.2s 0E65B522 sqdmulh v2.4h, v9.4h, v5.4h 4E6DB56F sqdmulh v15.8h, v11.8h, v13.8h 4E68B4EA sqdmulh v10.8h, v7.8h, v8.8h 4EA8B4D1 sqdmulh v17.4s, v6.4s, v8.4s 0E67B765 sqdmulh v5.4h, v27.4h, v7.4h 0E6CB60A sqdmulh v10.4h, v16.4h, v12.4h 4E6CB773 sqdmulh v19.8h, v27.8h, v12.8h 4E65B6C2 sqdmulh v2.8h, v22.8h, v5.8h 0E68B49C sqdmulh v28.4h, v4.4h, v8.4h 0EA8B43D sqdmulh v29.2s, v1.2s, v8.2s 0E64B70F sqdmulh v15.4h, v24.4h, v4.4h // SQDMULH_asisdelem_R 01011111xxxxxxxx1100x0xxxxxxxxxx 5F81C17D sqdmulh s29, s11, v1.s[0] 5F61CB75 sqdmulh h21, h27, v1.h[6] 5F7BC912 sqdmulh h18, h8, v11.h[7] 5F53C32B sqdmulh h11, h25, v3.h[1] 5F55C9F5 sqdmulh h21, h15, v5.h[5] 5F93C1E7 sqdmulh s7, s15, v19.s[0] 5F66C38C sqdmulh h12, h28, v6.h[2] 5F69C9AC sqdmulh h12, h13, v9.h[6] 5F91C01C sqdmulh s28, s0, v17.s[0] 5F72CA2E sqdmulh h14, h17, v2.h[7] 5F7EC3EB sqdmulh h11, h31, v14.h[3] 5F96C007 sqdmulh s7, s0, v22.s[0] 5F54C88D sqdmulh h13, h4, v4.h[5] 5F79CB61 sqdmulh h1, h27, v9.h[7] 5F62C0B9 sqdmulh h25, h5, v2.h[2] 5FBAC876 sqdmulh s22, s3, v26.s[3] // SQDMULH_asisdsame_only 01011110xx1xxxxx1011xxxxxxxxxxxx 5E67B7AB sqdmulh h11, h29, h7 5E75B4C3 sqdmulh h3, h6, h21 5EBBB66C sqdmulh s12, s19, s27 5E61B6F4 sqdmulh h20, h23, h1 5E69B778 sqdmulh h24, h27, h9 5EBFB7B9 sqdmulh s25, s29, s31 5E78B71D sqdmulh h29, h24, h24 5E67B43F sqdmulh h31, h1, h7 5E6FB6E3 sqdmulh h3, h23, h15 5EBCB569 sqdmulh s9, s11, s28 5EAEB701 sqdmulh s1, s24, s14 5EBAB7B9 sqdmulh s25, s29, s26 5E6CB61A sqdmulh h26, h16, h12 5EA2B7CA sqdmulh s10, s30, s2 5E68B5D6 sqdmulh h22, h14, h8 5E7EB77A sqdmulh h26, h27, h30 // SQDMULL_asimddiff_L 0x001110xx1xxxxx11xxxxxxxxxxxxxx 0E6FD10E sqdmull v14.4s, v8.4h, v15.4h 4EB3D067 sqdmull2 v7.2d, v3.4s, v19.4s 0EA1D09F sqdmull v31.2d, v4.2s, v1.2s 4EBCD221 sqdmull2 v1.2d, v17.4s, v28.4s 4E74D2F0 sqdmull2 v16.4s, v23.8h, v20.8h 0EAAD0E6 sqdmull v6.2d, v7.2s, v10.2s 4EA7D094 sqdmull2 v20.2d, v4.4s, v7.4s 0E7DD0AB sqdmull v11.4s, v5.4h, v29.4h 4E72D130 sqdmull2 v16.4s, v9.8h, v18.8h 4EA4D2D1 sqdmull2 v17.2d, v22.4s, v4.4s 0EAFD304 sqdmull v4.2d, v24.2s, v15.2s 4EB8D0A5 sqdmull2 v5.2d, v5.4s, v24.4s 0E6AD174 sqdmull v20.4s, v11.4h, v10.4h 4EA1D14A sqdmull2 v10.2d, v10.4s, v1.4s 4E6AD3AB sqdmull2 v11.4s, v29.8h, v10.8h 0EAED2AA sqdmull v10.2d, v21.2s, v14.2s // SQDMULL_asimdelem_L 0x001111xxxxxxxx1011x0xxxxxxxxxx 0F5ABB2A sqdmull v10.4s, v25.4h, v10.h[5] 4FB4B3A7 sqdmull2 v7.2d, v29.4s, v20.s[1] 4F9FB9A2 sqdmull2 v2.2d, v13.4s, v31.s[2] 4F89BB73 sqdmull2 v19.2d, v27.4s, v9.s[2] 0F9EB2F9 sqdmull v25.2d, v23.2s, v30.s[0] 0F74B821 sqdmull v1.4s, v1.4h, v4.h[7] 0F92B31F sqdmull v31.2d, v24.2s, v18.s[0] 0F81B903 sqdmull v3.2d, v8.2s, v1.s[2] 4FB6B14A sqdmull2 v10.2d, v10.4s, v22.s[1] 0F7AB920 sqdmull v0.4s, v9.4h, v10.h[7] 4F55B81D sqdmull2 v29.4s, v0.8h, v5.h[5] 4F92B08A sqdmull2 v10.2d, v4.4s, v18.s[0] 0F7FBA0E sqdmull v14.4s, v16.4h, v15.h[7] 4FB1B359 sqdmull2 v25.2d, v26.4s, v17.s[1] 0F9FB1B7 sqdmull v23.2d, v13.2s, v31.s[0] 4F80B180 sqdmull2 v0.2d, v12.4s, v0.s[0] // SQDMULL_asisddiff_only 01011110xx1xxxxx110100xxxxxxxxxx 5E6CD0A6 sqdmull s6, h5, h12 5EBED316 sqdmull d22, s24, s30 5E7BD395 sqdmull s21, h28, h27 5EB5D3D4 sqdmull d20, s30, s21 5E74D212 sqdmull s18, h16, h20 5EA0D172 sqdmull d18, s11, s0 5EABD11F sqdmull d31, s8, s11 5E73D254 sqdmull s20, h18, h19 5EB3D0CC sqdmull d12, s6, s19 5EAED23B sqdmull d27, s17, s14 5E6ED296 sqdmull s22, h20, h14 5E6AD359 sqdmull s25, h26, h10 5E79D3F5 sqdmull s21, h31, h25 5EAFD2EB sqdmull d11, s23, s15 5E78D0CA sqdmull s10, h6, h24 5E7BD3B7 sqdmull s23, h29, h27 // SQDMULL_asisdelem_L 01011111xxxxxxxx1011x0xxxxxxxxxx 5F43B1D7 sqdmull s23, h14, v3.h[0] 5F80B160 sqdmull d0, s11, v0.s[0] 5F8ABB50 sqdmull d16, s26, v10.s[2] 5F40BB79 sqdmull s25, h27, v0.h[4] 5F4AB35A sqdmull s26, h26, v10.h[0] 5F60BA10 sqdmull s16, h16, v0.h[6] 5F57B3B9 sqdmull s25, h29, v7.h[1] 5F4DBB13 sqdmull s19, h24, v13.h[4] 5F7ABA4B sqdmull s11, h18, v10.h[7] 5F9DB94C sqdmull d12, s10, v29.s[2] 5F63B26F sqdmull s15, h19, v3.h[2] 5F9BB801 sqdmull d1, s0, v27.s[2] 5F76B990 sqdmull s16, h12, v6.h[7] 5F65B25E sqdmull s30, h18, v5.h[2] 5F58B856 sqdmull s22, h2, v8.h[5] 5FA0BB99 sqdmull d25, s28, v0.s[3] // SQNEG_asimdmisc_R 0x101110xx100000xxxxxxxxxxxxxxxx 6E6079BE sqneg v30.8h, v13.8h 6EA07AC7 sqneg v7.4s, v22.4s 6EA07A6E sqneg v14.4s, v19.4s 2E6079AF sqneg v15.4h, v13.4h 6EE079FA sqneg v26.2d, v15.2d 2E60790D sqneg v13.4h, v8.4h 6E207AFA sqneg v26.16b, v23.16b 6EA078DB sqneg v27.4s, v6.4s 6EA07B47 sqneg v7.4s, v26.4s 6EE07AAC sqneg v12.2d, v21.2d 2EA07AC6 sqneg v6.2s, v22.2s 6EA07A57 sqneg v23.4s, v18.4s 2E207AA6 sqneg v6.8b, v21.8b 2E2078F9 sqneg v25.8b, v7.8b 6EA07AE2 sqneg v2.4s, v23.4s 6E207A34 sqneg v20.16b, v17.16b // SQNEG_asisdmisc_R 01111110xx100000011110xxxxxxxxxx 7EE07963 sqneg d3, d11 7E207A83 sqneg b3, b20 7E60786F sqneg h15, h3 7EA07ABE sqneg s30, s21 7EE078E9 sqneg d9, d7 7E6079E1 sqneg h1, h15 7E207B58 sqneg b24, b26 7E607BBA sqneg h26, h29 7EA07848 sqneg s8, s2 7EE07919 sqneg d25, d8 7E207B79 sqneg b25, b27 7EA07BF6 sqneg s22, s31 7E207B8B sqneg b11, b28 7EA07A4D sqneg s13, s18 7EA0786F sqneg s15, s3 7EA078A3 sqneg s3, s5 // SQRDMLAH_asimdelem_R 0x101111xxxxxxxx1101x0xxxxxxxxxx 6F6BDB3D sqrdmlah v29.8h, v25.8h, v11.h[6] 6F4FD060 sqrdmlah v0.8h, v3.8h, v15.h[0] 6F84D375 sqrdmlah v21.4s, v27.4s, v4.s[0] 6F84D2FB sqrdmlah v27.4s, v23.4s, v4.s[0] 6FBFD89A sqrdmlah v26.4s, v4.4s, v31.s[3] 2F9BD166 sqrdmlah v6.2s, v11.2s, v27.s[0] 2FA8D863 sqrdmlah v3.2s, v3.2s, v8.s[3] 2F94D95D sqrdmlah v29.2s, v10.2s, v20.s[2] 2F6DD3FF sqrdmlah v31.4h, v31.4h, v13.h[2] 2F9FD2A8 sqrdmlah v8.2s, v21.2s, v31.s[0] 6FBBD889 sqrdmlah v9.4s, v4.4s, v27.s[3] 6F86D906 sqrdmlah v6.4s, v8.4s, v6.s[2] 2F7CD360 sqrdmlah v0.4h, v27.4h, v12.h[3] 6FABD1A4 sqrdmlah v4.4s, v13.4s, v11.s[1] 6F93D0FF sqrdmlah v31.4s, v7.4s, v19.s[0] 6FA6DAD4 sqrdmlah v20.4s, v22.4s, v6.s[3] // SQRDMLAH_asimdsame2_only 0x101110xx0xxxxx100001xxxxxxxxxx 6E5E84CF sqrdmlah v15.8h, v6.8h, v30.8h 2E4787CB sqrdmlah v11.4h, v30.4h, v7.4h 2E9C86B0 sqrdmlah v16.2s, v21.2s, v28.2s 2E9F87CD sqrdmlah v13.2s, v30.2s, v31.2s 2E5A8492 sqrdmlah v18.4h, v4.4h, v26.4h 6E898458 sqrdmlah v24.4s, v2.4s, v9.4s 2E4B854C sqrdmlah v12.4h, v10.4h, v11.4h 6E5A852A sqrdmlah v10.8h, v9.8h, v26.8h 2E9F84FC sqrdmlah v28.2s, v7.2s, v31.2s 2E4A86C3 sqrdmlah v3.4h, v22.4h, v10.4h 2E548792 sqrdmlah v18.4h, v28.4h, v20.4h 2E8A8492 sqrdmlah v18.2s, v4.2s, v10.2s 6E9184B1 sqrdmlah v17.4s, v5.4s, v17.4s 6E4D8523 sqrdmlah v3.8h, v9.8h, v13.8h 2E5C868D sqrdmlah v13.4h, v20.4h, v28.4h 6E4A87F8 sqrdmlah v24.8h, v31.8h, v10.8h // SQRDMLAH_asisdelem_R 01111111xxxxxxxx1101x0xxxxxxxxxx 7F70D1C2 sqrdmlah h2, h14, v0.h[3] 7FA9D8C9 sqrdmlah s9, s6, v9.s[3] 7F57D3CD sqrdmlah h13, h30, v7.h[1] 7F53D8FD sqrdmlah h29, h7, v3.h[5] 7F91D3CE sqrdmlah s14, s30, v17.s[0] 7F91D124 sqrdmlah s4, s9, v17.s[0] 7F5AD844 sqrdmlah h4, h2, v10.h[5] 7F69D845 sqrdmlah h5, h2, v9.h[6] 7F6CD3E1 sqrdmlah h1, h31, v12.h[2] 7FA5D0B0 sqrdmlah s16, s5, v5.s[1] 7F76D3AA sqrdmlah h10, h29, v6.h[3] 7F97D32E sqrdmlah s14, s25, v23.s[0] 7F75DA6F sqrdmlah h15, h19, v5.h[7] 7FA6DB7B sqrdmlah s27, s27, v6.s[3] 7F8AD2F7 sqrdmlah s23, s23, v10.s[0] 7F7FDA49 sqrdmlah h9, h18, v15.h[7] // SQRDMLAH_asisdsame2_only 01111110xx0xxxxx100001xxxxxxxxxx 7E5D853D sqrdmlah h29, h9, h29 7E948741 sqrdmlah s1, s26, s20 7E41846E sqrdmlah h14, h3, h1 7E8F8686 sqrdmlah s6, s20, s15 7E5F8675 sqrdmlah h21, h19, h31 7E48859E sqrdmlah h30, h12, h8 7E9985C0 sqrdmlah s0, s14, s25 7E5A8580 sqrdmlah h0, h12, h26 7E9087A1 sqrdmlah s1, s29, s16 7E4887DD sqrdmlah h29, h30, h8 7E448518 sqrdmlah h24, h8, h4 7E8C842B sqrdmlah s11, s1, s12 7E4C86E5 sqrdmlah h5, h23, h12 7E58843D sqrdmlah h29, h1, h24 7E9F87F4 sqrdmlah s20, s31, s31 7E9A8759 sqrdmlah s25, s26, s26 // SQRDMLSH_asimdelem_R 0x101111xxxxxxxx1111xxxxxxxxxxxx 2FA1F379 sqrdmlsh v25.2s, v27.2s, v1.s[1] 2F72F37F sqrdmlsh v31.4h, v27.4h, v2.h[3] 2F76F180 sqrdmlsh v0.4h, v12.4h, v6.h[3] 2FA5F8B5 sqrdmlsh v21.2s, v5.2s, v5.s[3] 2F89F080 sqrdmlsh v0.2s, v4.2s, v9.s[0] 2F4CF2A5 sqrdmlsh v5.4h, v21.4h, v12.h[0] 6F7EF338 sqrdmlsh v24.8h, v25.8h, v14.h[3] 2F43F1C9 sqrdmlsh v9.4h, v14.4h, v3.h[0] 2F85F88F sqrdmlsh v15.2s, v4.2s, v5.s[2] 2F68FA60 sqrdmlsh v0.4h, v19.4h, v8.h[6] 6F94F9D0 sqrdmlsh v16.4s, v14.4s, v20.s[2] 2FACF2BB sqrdmlsh v27.2s, v21.2s, v12.s[1] 6FA6F958 sqrdmlsh v24.4s, v10.4s, v6.s[3] 2F90F138 sqrdmlsh v24.2s, v9.2s, v16.s[0] 6F85F3BE sqrdmlsh v30.4s, v29.4s, v5.s[0] 6F50F3A6 sqrdmlsh v6.8h, v29.8h, v0.h[1] // SQRDMLSH_asimdsame2_only 0x101110xx0xxxxx100011xxxxxxxxxx 6E918DF9 sqrdmlsh v25.4s, v15.4s, v17.4s 2E8B8C8E sqrdmlsh v14.2s, v4.2s, v11.2s 6E888FE4 sqrdmlsh v4.4s, v31.4s, v8.4s 6E838E85 sqrdmlsh v5.4s, v20.4s, v3.4s 2E998F32 sqrdmlsh v18.2s, v25.2s, v25.2s 2E528F19 sqrdmlsh v25.4h, v24.4h, v18.4h 2E568EC9 sqrdmlsh v9.4h, v22.4h, v22.4h 6E4C8C03 sqrdmlsh v3.8h, v0.8h, v12.8h 2E928D05 sqrdmlsh v5.2s, v8.2s, v18.2s 2E9F8C7A sqrdmlsh v26.2s, v3.2s, v31.2s 6E908FD3 sqrdmlsh v19.4s, v30.4s, v16.4s 6E4A8D2D sqrdmlsh v13.8h, v9.8h, v10.8h 6E5C8EF7 sqrdmlsh v23.8h, v23.8h, v28.8h 6E448D1C sqrdmlsh v28.8h, v8.8h, v4.8h 6E4F8C76 sqrdmlsh v22.8h, v3.8h, v15.8h 6E808EA8 sqrdmlsh v8.4s, v21.4s, v0.4s // SQRDMLSH_asisdelem_R 01111111xxxxxxxx1111x0xxxxxxxxxx 7F68F3F5 sqrdmlsh h21, h31, v8.h[2] 7F6EF313 sqrdmlsh h19, h24, v14.h[2] 7F52F156 sqrdmlsh h22, h10, v2.h[1] 7FAFFB96 sqrdmlsh s22, s28, v15.s[3] 7F73F2D3 sqrdmlsh h19, h22, v3.h[3] 7F46F340 sqrdmlsh h0, h26, v6.h[0] 7F62F837 sqrdmlsh h23, h1, v2.h[6] 7FB7F0A8 sqrdmlsh s8, s5, v23.s[1] 7F7EF3C3 sqrdmlsh h3, h30, v14.h[3] 7F91F8DA sqrdmlsh s26, s6, v17.s[2] 7F6DFB59 sqrdmlsh h25, h26, v13.h[6] 7F5DF3B4 sqrdmlsh h20, h29, v13.h[1] 7FAAF2C7 sqrdmlsh s7, s22, v10.s[1] 7F93F868 sqrdmlsh s8, s3, v19.s[2] 7F9DF2F8 sqrdmlsh s24, s23, v29.s[0] 7FBBF1E6 sqrdmlsh s6, s15, v27.s[1] // SQRDMLSH_asisdsame2_only 01111110xx0xxxxx100011xxxxxxxxxx 7E998C70 sqrdmlsh s16, s3, s25 7E5A8FC7 sqrdmlsh h7, h30, h26 7E498DED sqrdmlsh h13, h15, h9 7E938DF1 sqrdmlsh s17, s15, s19 7E448C0A sqrdmlsh h10, h0, h4 7E8B8C6F sqrdmlsh s15, s3, s11 7E878D67 sqrdmlsh s7, s11, s7 7E9D8CBB sqrdmlsh s27, s5, s29 7E578DB4 sqrdmlsh h20, h13, h23 7E928E35 sqrdmlsh s21, s17, s18 7E938E12 sqrdmlsh s18, s16, s19 7E488DEC sqrdmlsh h12, h15, h8 7E8D8F6C sqrdmlsh s12, s27, s13 7E408CEF sqrdmlsh h15, h7, h0 7E4B8D7B sqrdmlsh h27, h11, h11 7E8F8C66 sqrdmlsh s6, s3, s15 // SQRDMULH_asimdelem_R 0x001111xxxxxxxx1101x0xxxxxxxxxx 4F8DDA5E sqrdmulh v30.4s, v18.4s, v13.s[2] 4FA8D054 sqrdmulh v20.4s, v2.4s, v8.s[1] 0FB8D2D9 sqrdmulh v25.2s, v22.2s, v24.s[1] 0FAFD230 sqrdmulh v16.2s, v17.2s, v15.s[1] 0FA7DB54 sqrdmulh v20.2s, v26.2s, v7.s[3] 4F93D2F8 sqrdmulh v24.4s, v23.4s, v19.s[0] 0F60DB2A sqrdmulh v10.4h, v25.4h, v0.h[6] 4F52D95D sqrdmulh v29.8h, v10.8h, v2.h[5] 4F85DAFD sqrdmulh v29.4s, v23.4s, v5.s[2] 4F90D154 sqrdmulh v20.4s, v10.4s, v16.s[0] 4F81D0FE sqrdmulh v30.4s, v7.4s, v1.s[0] 0F45D9D3 sqrdmulh v19.4h, v14.4h, v5.h[4] 4F78D265 sqrdmulh v5.8h, v19.8h, v8.h[3] 0FA9D104 sqrdmulh v4.2s, v8.2s, v9.s[1] 4F40D861 sqrdmulh v1.8h, v3.8h, v0.h[4] 4FBEDB8C sqrdmulh v12.4s, v28.4s, v30.s[3] // SQRDMULH_asimdsame_only 0x101110xx1xxxxx1011xxxxxxxxxxxx 2EACB6E9 sqrdmulh v9.2s, v23.2s, v12.2s 6E66B657 sqrdmulh v23.8h, v18.8h, v6.8h 6EAAB750 sqrdmulh v16.4s, v26.4s, v10.4s 6EB1B69E sqrdmulh v30.4s, v20.4s, v17.4s 2EA6B669 sqrdmulh v9.2s, v19.2s, v6.2s 6EADB524 sqrdmulh v4.4s, v9.4s, v13.4s 2E65B4F3 sqrdmulh v19.4h, v7.4h, v5.4h 2EBAB58A sqrdmulh v10.2s, v12.2s, v26.2s 2EBAB7C0 sqrdmulh v0.2s, v30.2s, v26.2s 2E61B7F9 sqrdmulh v25.4h, v31.4h, v1.4h 2EAFB5C9 sqrdmulh v9.2s, v14.2s, v15.2s 2E67B626 sqrdmulh v6.4h, v17.4h, v7.4h 2E6EB605 sqrdmulh v5.4h, v16.4h, v14.4h 2EB1B649 sqrdmulh v9.2s, v18.2s, v17.2s 6EBEB609 sqrdmulh v9.4s, v16.4s, v30.4s 6EB1B713 sqrdmulh v19.4s, v24.4s, v17.4s // SQRDMULH_asisdelem_R 01011111xxxxxxxx1101x0xxxxxxxxxx 5FADD8C5 sqrdmulh s5, s6, v13.s[3] 5FACD9DA sqrdmulh s26, s14, v12.s[3] 5F7CD346 sqrdmulh h6, h26, v12.h[3] 5F4ED0BB sqrdmulh h27, h5, v14.h[0] 5F94D122 sqrdmulh s2, s9, v20.s[0] 5F49D9C6 sqrdmulh h6, h14, v9.h[4] 5F75D8E6 sqrdmulh h6, h7, v5.h[7] 5F72D9EF sqrdmulh h15, h15, v2.h[7] 5FBED93A sqrdmulh s26, s9, v30.s[3] 5FA0D0A8 sqrdmulh s8, s5, v0.s[1] 5F5FD2A6 sqrdmulh h6, h21, v15.h[1] 5F9DD839 sqrdmulh s25, s1, v29.s[2] 5F94D031 sqrdmulh s17, s1, v20.s[0] 5FB8D335 sqrdmulh s21, s25, v24.s[1] 5F44D89C sqrdmulh h28, h4, v4.h[4] 5FABDAD0 sqrdmulh s16, s22, v11.s[3] // SQRDMULH_asisdsame_only 01111110xx1xxxxx1011xxxxxxxxxxxx 7EABB790 sqrdmulh s16, s28, s11 7EB0B7D9 sqrdmulh s25, s30, s16 7E69B7C1 sqrdmulh h1, h30, h9 7EBAB536 sqrdmulh s22, s9, s26 7E78B678 sqrdmulh h24, h19, h24 7E77B584 sqrdmulh h4, h12, h23 7EBFB4A3 sqrdmulh s3, s5, s31 7E77B60C sqrdmulh h12, h16, h23 7EBAB694 sqrdmulh s20, s20, s26 7EA0B5A2 sqrdmulh s2, s13, s0 7E67B7BA sqrdmulh h26, h29, h7 7EB0B674 sqrdmulh s20, s19, s16 7E78B7ED sqrdmulh h13, h31, h24 7EA9B63C sqrdmulh s28, s17, s9 7EB1B5C7 sqrdmulh s7, s14, s17 7EA6B625 sqrdmulh s5, s17, s6 // SQRSHL_asimdsame_only 0x001110xx1xxxxx01xxxxxxxxxxxxxx 4EA75D1E sqrshl v30.4s, v8.4s, v7.4s 0EBE5F4F sqrshl v15.2s, v26.2s, v30.2s 0E3C5D84 sqrshl v4.8b, v12.8b, v28.8b 4E3A5C94 sqrshl v20.16b, v4.16b, v26.16b 4E675E0F sqrshl v15.8h, v16.8h, v7.8h 0E335DD5 sqrshl v21.8b, v14.8b, v19.8b 0EA95E06 sqrshl v6.2s, v16.2s, v9.2s 0E335EE1 sqrshl v1.8b, v23.8b, v19.8b 4EEF5F95 sqrshl v21.2d, v28.2d, v15.2d 0E2E5C9B sqrshl v27.8b, v4.8b, v14.8b 0E2B5CAB sqrshl v11.8b, v5.8b, v11.8b 0E7F5E4C sqrshl v12.4h, v18.4h, v31.4h 0E3D5E0E sqrshl v14.8b, v16.8b, v29.8b 4EA65E6A sqrshl v10.4s, v19.4s, v6.4s 4EA05D88 sqrshl v8.4s, v12.4s, v0.4s 4E6E5E1D sqrshl v29.8h, v16.8h, v14.8h // SQRSHL_asisdsame_only 01011110xx1xxxxx010111xxxxxxxxxx 5E6A5E75 sqrshl h21, h19, h10 5EF35C1D sqrshl d29, d0, d19 5EE45FB3 sqrshl d19, d29, d4 5E685CEF sqrshl h15, h7, h8 5EF35DC6 sqrshl d6, d14, d19 5EB25F7B sqrshl s27, s27, s18 5EE75F78 sqrshl d24, d27, d7 5E2A5CD2 sqrshl b18, b6, b10 5EEC5C80 sqrshl d0, d4, d12 5E345C36 sqrshl b22, b1, b20 5EF95C1D sqrshl d29, d0, d25 5EE35E07 sqrshl d7, d16, d3 5EF25EBA sqrshl d26, d21, d18 5E2B5CF7 sqrshl b23, b7, b11 5EBE5D0F sqrshl s15, s8, s30 5E715CB2 sqrshl h18, h5, h17 // SQRSHRN_asimdshf_N 0x00111100xxxxxx10xxxxxxxxxxxxxx 4F399DAB sqrshrn2 v11.4s, v13.2d, #0x7 4F089CCA sqrshrn2 v10.16b, v6.8h, #0x8 0F089FCF sqrshrn v15.8b, v30.8h, #0x8 4F089E12 sqrshrn2 v18.16b, v16.8h, #0x8 4F349C66 sqrshrn2 v6.4s, v3.2d, #0xc 4F0C9EF4 sqrshrn2 v20.16b, v23.8h, #0x4 4F229E8E sqrshrn2 v14.4s, v20.2d, #0x1e 0F249DD3 sqrshrn v19.2s, v14.2d, #0x1c 4F3A9E58 sqrshrn2 v24.4s, v18.2d, #0x6 0F0E9D91 sqrshrn v17.8b, v12.8h, #0x2 0F1A9EE8 sqrshrn v8.4h, v23.4s, #0x6 4F289E1C sqrshrn2 v28.4s, v16.2d, #0x18 0F359F82 sqrshrn v2.2s, v28.2d, #0xb 0F0D9DCE sqrshrn v14.8b, v14.8h, #0x3 0F179E7C sqrshrn v28.4h, v19.4s, #0x9 4F129E46 sqrshrn2 v6.8h, v18.4s, #0xe // SQRSHRN_asisdshf_N 0101111100xxxxxx100111xxxxxxxxxx 5F389E62 sqrshrn s2, d19, #0x8 5F1D9E9E sqrshrn h30, s20, #0x3 5F109EE5 sqrshrn h5, s23, #0x10 5F129EE4 sqrshrn h4, s23, #0xe 5F289F50 sqrshrn s16, d26, #0x18 5F179DEB sqrshrn h11, s15, #0x9 5F289FD9 sqrshrn s25, d30, #0x18 5F2F9CF4 sqrshrn s20, d7, #0x11 5F0F9D71 sqrshrn b17, h11, #0x1 5F1C9CB2 sqrshrn h18, s5, #0x4 5F3B9CFB sqrshrn s27, d7, #0x5 5F3F9E1B sqrshrn s27, d16, #0x1 5F199EFC sqrshrn h28, s23, #0x7 5F0F9C5B sqrshrn b27, h2, #0x1 5F119C34 sqrshrn h20, s1, #0xf 5F2E9CBF sqrshrn s31, d5, #0x12 // SQRSHRUN_asimdshf_N 0x10111100xxxxxx100011xxxxxxxxxx 2F118DE4 sqrshrun v4.4h, v15.4s, #0xf 2F228C09 sqrshrun v9.2s, v0.2d, #0x1e 2F3D8FEC sqrshrun v12.2s, v31.2d, #0x3 2F258DEA sqrshrun v10.2s, v15.2d, #0x1b 6F148FA9 sqrshrun2 v9.8h, v29.4s, #0xc 2F148E89 sqrshrun v9.4h, v20.4s, #0xc 2F0C8ECE sqrshrun v14.8b, v22.8h, #0x4 6F198EA7 sqrshrun2 v7.8h, v21.4s, #0x7 6F1F8C81 sqrshrun2 v1.8h, v4.4s, #0x1 6F318D46 sqrshrun2 v6.4s, v10.2d, #0xf 2F1D8CC7 sqrshrun v7.4h, v6.4s, #0x3 6F138CF3 sqrshrun2 v19.8h, v7.4s, #0xd 6F288E3F sqrshrun2 v31.4s, v17.2d, #0x18 2F1B8FB0 sqrshrun v16.4h, v29.4s, #0x5 6F338D16 sqrshrun2 v22.4s, v8.2d, #0xd 6F1E8E19 sqrshrun2 v25.8h, v16.4s, #0x2 // SQRSHRUN_asisdshf_N 0111111100xxxxxx100011xxxxxxxxxx 7F168FAE sqrshrun h14, s29, #0xa 7F3D8C9F sqrshrun s31, d4, #0x3 7F1E8D76 sqrshrun h22, s11, #0x2 7F238F69 sqrshrun s9, d27, #0x1d 7F3C8F7D sqrshrun s29, d27, #0x4 7F328C77 sqrshrun s23, d3, #0xe 7F278E50 sqrshrun s16, d18, #0x19 7F0F8F3C sqrshrun b28, h25, #0x1 7F2C8F84 sqrshrun s4, d28, #0x14 7F1D8C92 sqrshrun h18, s4, #0x3 7F328C7A sqrshrun s26, d3, #0xe 7F118FC5 sqrshrun h5, s30, #0xf 7F118D3C sqrshrun h28, s9, #0xf 7F1B8E38 sqrshrun h24, s17, #0x5 7F0A8E46 sqrshrun b6, h18, #0x6 7F2E8C6F sqrshrun s15, d3, #0x12 // SQSHLU_asimdshf_R 0x1011110xxxxxxx0110xxxxxxxxxxxx 6F2A64F1 sqshlu v17.4s, v7.4s, #0xa 6F1B67F4 sqshlu v20.8h, v31.8h, #0xb 6F0C64B6 sqshlu v22.16b, v5.16b, #0x4 6F7B6591 sqshlu v17.2d, v12.2d, #0x3b 6F5F67B5 sqshlu v21.2d, v29.2d, #0x1f 2F3C6751 sqshlu v17.2s, v26.2s, #0x1c 6F2466B1 sqshlu v17.4s, v21.4s, #0x4 6F50679F sqshlu v31.2d, v28.2d, #0x10 6F3E665D sqshlu v29.4s, v18.4s, #0x1e 6F3E65B6 sqshlu v22.4s, v13.4s, #0x1e 2F3A67BE sqshlu v30.2s, v29.2s, #0x1a 6F0E6607 sqshlu v7.16b, v16.16b, #0x6 2F226663 sqshlu v3.2s, v19.2s, #0x2 2F3D6520 sqshlu v0.2s, v9.2s, #0x1d 2F1664E8 sqshlu v8.4h, v7.4h, #0x6 6F7D669D sqshlu v29.2d, v20.2d, #0x3d // SQSHLU_asisdshf_R 011111110xxxxxxx011001xxxxxxxxxx 7F7A656A sqshlu d10, d11, #0x3a 7F766695 sqshlu d21, d20, #0x36 7F696780 sqshlu d0, d28, #0x29 7F2165FC sqshlu s28, s15, #0x1 7F40653C sqshlu d28, d9, #0x0 7F2167D3 sqshlu s19, s30, #0x1 7F32664D sqshlu s13, s18, #0x12 7F7467FF sqshlu d31, d31, #0x34 7F2C6732 sqshlu s18, s25, #0xc 7F766512 sqshlu d18, d8, #0x36 7F5D651F sqshlu d31, d8, #0x1d 7F3F66E4 sqshlu s4, s23, #0x1f 7F7465F2 sqshlu d18, d15, #0x34 7F7166FF sqshlu d31, d23, #0x31 7F6864BD sqshlu d29, d5, #0x28 7F796429 sqshlu d9, d1, #0x39 // SQSHL_asimdsame_only 0x001110xx1xxxxx010xxxxxxxxxxxxx 4E2A4EEC sqshl v12.16b, v23.16b, v10.16b 0E734F15 sqshl v21.4h, v24.4h, v19.4h 0EBD4C19 sqshl v25.2s, v0.2s, v29.2s 0E704E3F sqshl v31.4h, v17.4h, v16.4h 4EBC4E2D sqshl v13.4s, v17.4s, v28.4s 0EAE4D21 sqshl v1.2s, v9.2s, v14.2s 0E694FA5 sqshl v5.4h, v29.4h, v9.4h 0EA34F87 sqshl v7.2s, v28.2s, v3.2s 0E784C78 sqshl v24.4h, v3.4h, v24.4h 4E7A4E33 sqshl v19.8h, v17.8h, v26.8h 4EAB4CF2 sqshl v18.4s, v7.4s, v11.4s 4EE04CC2 sqshl v2.2d, v6.2d, v0.2d 4EBB4F33 sqshl v19.4s, v25.4s, v27.4s 4EA94E71 sqshl v17.4s, v19.4s, v9.4s 0E774E82 sqshl v2.4h, v20.4h, v23.4h 4EF34FF6 sqshl v22.2d, v31.2d, v19.2d // SQSHL_asimdshf_R 0x0011110xxxxxxx0111xxxxxxxxxxxx 0F3A75B0 sqshl v16.2s, v13.2s, #0x1a 4F0877DF sqshl v31.16b, v30.16b, #0x0 0F2377D7 sqshl v23.2s, v30.2s, #0x3 0F0F7495 sqshl v21.8b, v4.8b, #0x7 4F5676CC sqshl v12.2d, v22.2d, #0x16 0F0C7648 sqshl v8.8b, v18.8b, #0x4 4F6F7657 sqshl v23.2d, v18.2d, #0x2f 4F1377FA sqshl v26.8h, v31.8h, #0x3 4F5876E9 sqshl v9.2d, v23.2d, #0x18 4F517590 sqshl v16.2d, v12.2d, #0x11 4F227422 sqshl v2.4s, v1.4s, #0x2 4F3776CA sqshl v10.4s, v22.4s, #0x17 4F76760B sqshl v11.2d, v16.2d, #0x36 4F0E740B sqshl v11.16b, v0.16b, #0x6 4F69773C sqshl v28.2d, v25.2d, #0x29 4F1E7499 sqshl v25.8h, v4.8h, #0xe // SQSHL_asisdsame_only 01011110xx1xxxxx010011xxxxxxxxxx 5E3D4F9C sqshl b28, b28, b29 5E6A4F5C sqshl h28, h26, h10 5EF24FBC sqshl d28, d29, d18 5E3F4CEF sqshl b15, b7, b31 5E284C2E sqshl b14, b1, b8 5EA14D37 sqshl s23, s9, s1 5EBA4D3A sqshl s26, s9, s26 5E714D44 sqshl h4, h10, h17 5E3B4F8A sqshl b10, b28, b27 5E734C8A sqshl h10, h4, h19 5E704C40 sqshl h0, h2, h16 5E774D6D sqshl h13, h11, h23 5EF64FEB sqshl d11, d31, d22 5EE24E3B sqshl d27, d17, d2 5EFB4D57 sqshl d23, d10, d27 5E674FBE sqshl h30, h29, h7 // SQSHL_asisdshf_R 010111110xxxxxxx0111xxxxxxxxxxxx 5F39765F sqshl s31, s18, #0x19 5F6E763F sqshl d31, d17, #0x2e 5F35777D sqshl s29, s27, #0x15 5F147748 sqshl h8, h26, #0x4 5F7477B0 sqshl d16, d29, #0x34 5F117494 sqshl h20, h4, #0x1 5F1F758B sqshl h11, h12, #0xf 5F6E755C sqshl d28, d10, #0x2e 5F5475F7 sqshl d23, d15, #0x14 5F547588 sqshl d8, d12, #0x14 5F4675A8 sqshl d8, d13, #0x6 5F3E7637 sqshl s23, s17, #0x1e 5F197649 sqshl h9, h18, #0x9 5F0977BA sqshl b26, b29, #0x1 5F3E74C7 sqshl s7, s6, #0x1e 5F08742D sqshl b13, b1, #0x0 // SQSHRN_asimdshf_N 0x00111100xxxxxx100101xxxxxxxxxx 0F1897FC sqshrn v28.4h, v31.4s, #0x8 4F2796A9 sqshrn2 v9.4s, v21.2d, #0x19 0F2B94B5 sqshrn v21.2s, v5.2d, #0x15 4F2D96F2 sqshrn2 v18.4s, v23.2d, #0x13 4F0997AE sqshrn2 v14.16b, v29.8h, #0x7 0F199471 sqshrn v17.4h, v3.4s, #0x7 4F1A97D7 sqshrn2 v23.8h, v30.4s, #0x6 4F3A96A9 sqshrn2 v9.4s, v21.2d, #0x6 4F1396C9 sqshrn2 v9.8h, v22.4s, #0xd 4F0D9617 sqshrn2 v23.16b, v16.8h, #0x3 0F0B951B sqshrn v27.8b, v8.8h, #0x5 4F31959F sqshrn2 v31.4s, v12.2d, #0xf 0F3E964B sqshrn v11.2s, v18.2d, #0x2 0F119659 sqshrn v25.4h, v18.4s, #0xf 4F2B952A sqshrn2 v10.4s, v9.2d, #0x15 0F3897FD sqshrn v29.2s, v31.2d, #0x8 // SQSHRN_asisdshf_N 0101111100xxxxxx100101xxxxxxxxxx 5F2197AC sqshrn s12, d29, #0x1f 5F119431 sqshrn h17, s1, #0xf 5F32960D sqshrn s13, d16, #0xe 5F14952C sqshrn h12, s9, #0xc 5F1594EA sqshrn h10, s7, #0xb 5F3F941E sqshrn s30, d0, #0x1 5F21947F sqshrn s31, d3, #0x1f 5F1794B0 sqshrn h16, s5, #0x9 5F3397A6 sqshrn s6, d29, #0xd 5F1696F9 sqshrn h25, s23, #0xa 5F379616 sqshrn s22, d16, #0x9 5F3C9604 sqshrn s4, d16, #0x4 5F1F96DC sqshrn h28, s22, #0x1 5F33947F sqshrn s31, d3, #0xd 5F299502 sqshrn s2, d8, #0x17 5F30971B sqshrn s27, d24, #0x10 // SQSHRUN_asimdshf_N 0x10111100xxxxxx100001xxxxxxxxxx 2F2A85EC sqshrun v12.2s, v15.2d, #0x16 6F2686F2 sqshrun2 v18.4s, v23.2d, #0x1a 2F298703 sqshrun v3.2s, v24.2d, #0x17 6F3D8535 sqshrun2 v21.4s, v9.2d, #0x3 2F0A842A sqshrun v10.8b, v1.8h, #0x6 6F0C8535 sqshrun2 v21.16b, v9.8h, #0x4 6F2E8486 sqshrun2 v6.4s, v4.2d, #0x12 2F1584D8 sqshrun v24.4h, v6.4s, #0xb 6F1B84C2 sqshrun2 v2.8h, v6.4s, #0x5 2F1C851B sqshrun v27.4h, v8.4s, #0x4 6F1E85CA sqshrun2 v10.8h, v14.4s, #0x2 2F12868B sqshrun v11.4h, v20.4s, #0xe 2F358429 sqshrun v9.2s, v1.2d, #0xb 2F118752 sqshrun v18.4h, v26.4s, #0xf 6F10868B sqshrun2 v11.8h, v20.4s, #0x10 6F0E877B sqshrun2 v27.16b, v27.8h, #0x2 // SQSHRUN_asisdshf_N 0111111100xxxxxx100001xxxxxxxxxx 7F2286DA sqshrun s26, d22, #0x1e 7F0B875E sqshrun b30, h26, #0x5 7F1B866E sqshrun h14, s19, #0x5 7F16844E sqshrun h14, s2, #0xa 7F2F85EB sqshrun s11, d15, #0x11 7F1785C0 sqshrun h0, s14, #0x9 7F1D8464 sqshrun h4, s3, #0x3 7F0D87F8 sqshrun b24, h31, #0x3 7F118584 sqshrun h4, s12, #0xf 7F118706 sqshrun h6, s24, #0xf 7F34852E sqshrun s14, d9, #0xc 7F308660 sqshrun s0, d19, #0x10 7F1C8455 sqshrun h21, s2, #0x4 7F3A86AA sqshrun s10, d21, #0x6 7F2785D4 sqshrun s20, d14, #0x19 7F1387B7 sqshrun h23, s29, #0xd // SQSUB_asimdsame_only 0x001110xx1xxxxx001xxxxxxxxxxxxx 4EA52DF0 sqsub v16.4s, v15.4s, v5.4s 0E252C82 sqsub v2.8b, v4.8b, v5.8b 0EB22F1D sqsub v29.2s, v24.2s, v18.2s 4E2F2C21 sqsub v1.16b, v1.16b, v15.16b 4E332F4F sqsub v15.16b, v26.16b, v19.16b 0E682FB2 sqsub v18.4h, v29.4h, v8.4h 4EE02C43 sqsub v3.2d, v2.2d, v0.2d 0E612F96 sqsub v22.4h, v28.4h, v1.4h 4E7D2D7F sqsub v31.8h, v11.8h, v29.8h 0E232E5D sqsub v29.8b, v18.8b, v3.8b 4E322C27 sqsub v7.16b, v1.16b, v18.16b 4E3C2C44 sqsub v4.16b, v2.16b, v28.16b 0EA82F04 sqsub v4.2s, v24.2s, v8.2s 0EBD2F16 sqsub v22.2s, v24.2s, v29.2s 4E652FC0 sqsub v0.8h, v30.8h, v5.8h 0E6C2F09 sqsub v9.4h, v24.4h, v12.4h // SQSUB_asisdsame_only 01011110xx1xxxxx001011xxxxxxxxxx 5EBA2CE5 sqsub s5, s7, s26 5EE12EDD sqsub d29, d22, d1 5E7B2E8E sqsub h14, h20, h27 5E322C60 sqsub b0, b3, b18 5E2A2DC9 sqsub b9, b14, b10 5EE32DFD sqsub d29, d15, d3 5E672CFD sqsub h29, h7, h7 5E362DB0 sqsub b16, b13, b22 5EE12DB3 sqsub d19, d13, d1 5E3C2D43 sqsub b3, b10, b28 5E722DB0 sqsub h16, h13, h18 5E322CA8 sqsub b8, b5, b18 5E2B2C2A sqsub b10, b1, b11 5EEF2FEB sqsub d11, d31, d15 5EB72D46 sqsub s6, s10, s23 5EB62E7D sqsub s29, s19, s22 // SQXTN_asimdmisc_N 0x001110xx100001010010xxxxxxxxxx 0E614964 sqxtn v4.4h, v11.4s 4EA14A31 sqxtn2 v17.4s, v17.2d 0EA14A4D sqxtn v13.2s, v18.2d 4E614A44 sqxtn2 v4.8h, v18.4s 4E614B94 sqxtn2 v20.8h, v28.4s 0E614A2D sqxtn v13.4h, v17.4s 4EA14880 sqxtn2 v0.4s, v4.2d 4E214A8B sqxtn2 v11.16b, v20.8h 0EA14B1C sqxtn v28.2s, v24.2d 0E6148F1 sqxtn v17.4h, v7.4s 0E614898 sqxtn v24.4h, v4.4s 4E214BB2 sqxtn2 v18.16b, v29.8h 4EA148BF sqxtn2 v31.4s, v5.2d 0E214BF6 sqxtn v22.8b, v31.8h 0EA14A3E sqxtn v30.2s, v17.2d 0E214820 sqxtn v0.8b, v1.8h // SQXTN_asisdmisc_N 01011110xx100001010010xxxxxxxxxx 5E21499C sqxtn b28, h12 5E214A36 sqxtn b22, h17 5E6148C1 sqxtn h1, s6 5E214BCC sqxtn b12, h30 5EA1498F sqxtn s15, d12 5EA14973 sqxtn s19, d11 5E214AF3 sqxtn b19, h23 5E2149A4 sqxtn b4, h13 5E614966 sqxtn h6, s11 5E614B55 sqxtn h21, s26 5E2149F4 sqxtn b20, h15 5EA14988 sqxtn s8, d12 5E6149B5 sqxtn h21, s13 5EA149D7 sqxtn s23, d14 5E214BEF sqxtn b15, h31 5E214BB5 sqxtn b21, h29 // SQXTUN_asimdmisc_N 0x101110xx100001001010xxxxxxxxxx 2E212B5F sqxtun v31.8b, v26.8h 6EA128E0 sqxtun2 v0.4s, v7.2d 6E21293A sqxtun2 v26.16b, v9.8h 2E212AA7 sqxtun v7.8b, v21.8h 2EA12A16 sqxtun v22.2s, v16.2d 6EA12A3D sqxtun2 v29.4s, v17.2d 6E612B4E sqxtun2 v14.8h, v26.4s 2EA12914 sqxtun v20.2s, v8.2d 6EA12AFE sqxtun2 v30.4s, v23.2d 2E61289B sqxtun v27.4h, v4.4s 2E212B1B sqxtun v27.8b, v24.8h 6E212928 sqxtun2 v8.16b, v9.8h 6E612AFD sqxtun2 v29.8h, v23.4s 6E6128D7 sqxtun2 v23.8h, v6.4s 6E61288C sqxtun2 v12.8h, v4.4s 2E212857 sqxtun v23.8b, v2.8h // SQXTUN_asisdmisc_N 01111110xx100001001010xxxxxxxxxx 7E612B02 sqxtun h2, s24 7E212910 sqxtun b16, h8 7E212A62 sqxtun b2, h19 7E61290E sqxtun h14, s8 7E212860 sqxtun b0, h3 7E21292C sqxtun b12, h9 7E212ABC sqxtun b28, h21 7E212BB9 sqxtun b25, h29 7E212859 sqxtun b25, h2 7E6129E7 sqxtun h7, s15 7E612A6D sqxtun h13, s19 7E6128B2 sqxtun h18, s5 7EA12B74 sqxtun s20, d27 7EA128CA sqxtun s10, d6 7E212ACF sqxtun b15, h22 7E612A65 sqxtun h5, s19 // SRHADD_asimdsame_only 0x001110xx1xxxxx0001xxxxxxxxxxxx 4EB3174D srhadd v13.4s, v26.4s, v19.4s 0E3514D4 srhadd v20.8b, v6.8b, v21.8b 4E251465 srhadd v5.16b, v3.16b, v5.16b 4E6817B6 srhadd v22.8h, v29.8h, v8.8h 0E6214EE srhadd v14.4h, v7.4h, v2.4h 0EBC1640 srhadd v0.2s, v18.2s, v28.2s 4E6A1669 srhadd v9.8h, v19.8h, v10.8h 0E62146F srhadd v15.4h, v3.4h, v2.4h 0EB517BD srhadd v29.2s, v29.2s, v21.2s 0E2F1477 srhadd v23.8b, v3.8b, v15.8b 0E7614AC srhadd v12.4h, v5.4h, v22.4h 4E6D153E srhadd v30.8h, v9.8h, v13.8h 0E2417F3 srhadd v19.8b, v31.8b, v4.8b 0E2C16AE srhadd v14.8b, v21.8b, v12.8b 0E7517E1 srhadd v1.4h, v31.4h, v21.4h 4E7E1685 srhadd v5.8h, v20.8h, v30.8h // SRI_asimdshf_R 0x1011110xxxxxxx0100xxxxxxxxxxxx 2F1A469C sri v28.4h, v20.4h, #0x6 6F6045F9 sri v25.2d, v15.2d, #0x20 6F6147B4 sri v20.2d, v29.2d, #0x1f 2F0B45D1 sri v17.8b, v14.8b, #0x5 2F364530 sri v16.2s, v9.2s, #0xa 6F524586 sri v6.2d, v12.2d, #0x2e 6F534660 sri v0.2d, v19.2d, #0x2d 6F4D458E sri v14.2d, v12.2d, #0x33 6F5146EC sri v12.2d, v23.2d, #0x2f 6F204606 sri v6.4s, v16.4s, #0x20 6F604569 sri v9.2d, v11.2d, #0x20 6F1445FF sri v31.8h, v15.8h, #0xc 2F124542 sri v2.4h, v10.4h, #0xe 6F2C473C sri v28.4s, v25.4s, #0x14 2F3B444B sri v11.2s, v2.2s, #0x5 2F0E4764 sri v4.8b, v27.8b, #0x2 // SRI_asisdshf_R 0111111101xxxxxx010001xxxxxxxxxx 7F5746DD sri d29, d22, #0x29 7F764615 sri d21, d16, #0xa 7F554402 sri d2, d0, #0x2b 7F4C4451 sri d17, d2, #0x34 7F474526 sri d6, d9, #0x39 7F504515 sri d21, d8, #0x30 7F4545CF sri d15, d14, #0x3b 7F7B46FE sri d30, d23, #0x5 7F75479C sri d28, d28, #0xb 7F754544 sri d4, d10, #0xb 7F71456D sri d13, d11, #0xf 7F7C4708 sri d8, d24, #0x4 7F6A447E sri d30, d3, #0x16 7F704448 sri d8, d2, #0x10 7F5D4454 sri d20, d2, #0x23 7F494487 sri d7, d4, #0x37 // SRSHL_asimdsame_only 0x001110xx1xxxxx0101xxxxxxxxxxxx 0E7B569D srshl v29.4h, v20.4h, v27.4h 4EF35738 srshl v24.2d, v25.2d, v19.2d 4E3C568D srshl v13.16b, v20.16b, v28.16b 4EF15600 srshl v0.2d, v16.2d, v17.2d 4E2C5653 srshl v19.16b, v18.16b, v12.16b 0E315733 srshl v19.8b, v25.8b, v17.8b 4E37568B srshl v11.16b, v20.16b, v23.16b 4E3C56DB srshl v27.16b, v22.16b, v28.16b 4E365644 srshl v4.16b, v18.16b, v22.16b 4EF65417 srshl v23.2d, v0.2d, v22.2d 4E3B54C8 srshl v8.16b, v6.16b, v27.16b 0E735441 srshl v1.4h, v2.4h, v19.4h 0E6F57B4 srshl v20.4h, v29.4h, v15.4h 4E2055F5 srshl v21.16b, v15.16b, v0.16b 0E325795 srshl v21.8b, v28.8b, v18.8b 4E6F57C2 srshl v2.8h, v30.8h, v15.8h // SRSHL_asisdsame_only 01011110111xxxxx010101xxxxxxxxxx 5EFE57E2 srshl d2, d31, d30 5EE4549F srshl d31, d4, d4 5EE457D0 srshl d16, d30, d4 5EED5510 srshl d16, d8, d13 5EE854F6 srshl d22, d7, d8 5EE754F1 srshl d17, d7, d7 5EF956D9 srshl d25, d22, d25 5EF05515 srshl d21, d8, d16 5EE95533 srshl d19, d9, d9 5EE25763 srshl d3, d27, d2 5EE257A3 srshl d3, d29, d2 5EE25695 srshl d21, d20, d2 5EF8555C srshl d28, d10, d24 5EE65781 srshl d1, d28, d6 5EF0557E srshl d30, d11, d16 5EF15554 srshl d20, d10, d17 // SRSHR_asimdshf_R 0x0011110xxxxxxx0010xxxxxxxxxxxx 0F28266D srshr v13.2s, v19.2s, #0x18 4F4224E4 srshr v4.2d, v7.2d, #0x3e 4F482571 srshr v17.2d, v11.2d, #0x38 0F0C2568 srshr v8.8b, v11.8b, #0x4 4F412468 srshr v8.2d, v3.2d, #0x3f 4F1924A7 srshr v7.8h, v5.8h, #0x7 4F7727D4 srshr v20.2d, v30.2d, #0x9 0F1B248C srshr v12.4h, v4.4h, #0x5 0F3C2449 srshr v9.2s, v2.2s, #0x4 4F5E27CC srshr v12.2d, v30.2d, #0x22 4F332506 srshr v6.4s, v8.4s, #0xd 0F1A244E srshr v14.4h, v2.4h, #0x6 4F412796 srshr v22.2d, v28.2d, #0x3f 0F37248E srshr v14.2s, v4.2s, #0x9 0F2424B9 srshr v25.2s, v5.2s, #0x1c 0F36275B srshr v27.2s, v26.2s, #0xa // SRSHR_asisdshf_R 0101111101xxxxxx001001xxxxxxxxxx 5F6C2557 srshr d23, d10, #0x14 5F512426 srshr d6, d1, #0x2f 5F6E253A srshr d26, d9, #0x12 5F5B2758 srshr d24, d26, #0x25 5F502499 srshr d25, d4, #0x30 5F4125E8 srshr d8, d15, #0x3f 5F7C2509 srshr d9, d8, #0x4 5F682453 srshr d19, d2, #0x18 5F732768 srshr d8, d27, #0xd 5F7B2596 srshr d22, d12, #0x5 5F442596 srshr d22, d12, #0x3c 5F5326F0 srshr d16, d23, #0x2d 5F5A2770 srshr d16, d27, #0x26 5F4224CA srshr d10, d6, #0x3e 5F5F275B srshr d27, d26, #0x21 5F4627BD srshr d29, d29, #0x3a // SRSRA_asimdshf_R 0x0011110xxxxxxx0011xxxxxxxxxxxx 0F3E3680 srsra v0.2s, v20.2s, #0x2 0F2335EE srsra v14.2s, v15.2s, #0x1d 4F7E3780 srsra v0.2d, v28.2d, #0x2 4F4F3783 srsra v3.2d, v28.2d, #0x31 4F1A34E3 srsra v3.8h, v7.8h, #0x6 0F3136CC srsra v12.2s, v22.2s, #0xf 4F0D34FB srsra v27.16b, v7.16b, #0x3 4F5E374B srsra v11.2d, v26.2d, #0x22 4F243754 srsra v20.4s, v26.4s, #0x1c 4F393763 srsra v3.4s, v27.4s, #0x7 0F3A3591 srsra v17.2s, v12.2s, #0x6 4F4236FF srsra v31.2d, v23.2d, #0x3e 4F2535A4 srsra v4.4s, v13.4s, #0x1b 4F7634D2 srsra v18.2d, v6.2d, #0xa 0F1D3723 srsra v3.4h, v25.4h, #0x3 4F6936EB srsra v11.2d, v23.2d, #0x17 // SRSRA_asisdshf_R 0101111101xxxxxx001101xxxxxxxxxx 5F6334A7 srsra d7, d5, #0x1d 5F4C3787 srsra d7, d28, #0x34 5F5334BB srsra d27, d5, #0x2d 5F7036CD srsra d13, d22, #0x10 5F6F376D srsra d13, d27, #0x11 5F633769 srsra d9, d27, #0x1d 5F5C3796 srsra d22, d28, #0x24 5F5937A5 srsra d5, d29, #0x27 5F5D35F1 srsra d17, d15, #0x23 5F6D3773 srsra d19, d27, #0x13 5F7836AB srsra d11, d21, #0x8 5F493736 srsra d22, d25, #0x37 5F593585 srsra d5, d12, #0x27 5F7536D1 srsra d17, d22, #0xb 5F6636FB srsra d27, d23, #0x1a 5F783621 srsra d1, d17, #0x8 // SSBB_only_barriers 11010101000000110011000010xxxxxx D503309F ssbb // SSHLL_asimdshf_L 0x00111100xxxxxx1010xxxxxxxxxxxx 0F29A72B sshll v11.2d, v25.2s, #0x9 4F3DA51C sshll2 v28.2d, v8.4s, #0x1d 4F18A59B sshll2 v27.4s, v12.8h, #0x8 4F09A765 sshll2 v5.8h, v27.16b, #0x1 4F28A77A sshll2 v26.2d, v27.4s, #0x8 4F12A721 sshll2 v1.4s, v25.8h, #0x2 4F3EA6CD sshll2 v13.2d, v22.4s, #0x1e 4F28A6C8 sshll2 v8.2d, v22.4s, #0x8 4F0AA752 sshll2 v18.8h, v26.16b, #0x2 0F18A44A sshll v10.4s, v2.4h, #0x8 4F36A6AF sshll2 v15.2d, v21.4s, #0x16 4F2DA640 sshll2 v0.2d, v18.4s, #0xd 0F24A4F0 sshll v16.2d, v7.2s, #0x4 4F12A6D9 sshll2 v25.4s, v22.8h, #0x2 4F2EA77D sshll2 v29.2d, v27.4s, #0xe 0F16A53A sshll v26.4s, v9.4h, #0x6 // SSHL_asimdsame_only 0x001110xx1xxxxx0100xxxxxxxxxxxx 0E7B45DC sshl v28.4h, v14.4h, v27.4h 4EF847CB sshl v11.2d, v30.2d, v24.2d 4EAA4475 sshl v21.4s, v3.4s, v10.4s 0EA14456 sshl v22.2s, v2.2s, v1.2s 0E74468A sshl v10.4h, v20.4h, v20.4h 4E2C4720 sshl v0.16b, v25.16b, v12.16b 4EF145A2 sshl v2.2d, v13.2d, v17.2d 0E27469C sshl v28.8b, v20.8b, v7.8b 4EAC45CD sshl v13.4s, v14.4s, v12.4s 4EFB442C sshl v12.2d, v1.2d, v27.2d 4E3247AA sshl v10.16b, v29.16b, v18.16b 4E7847A9 sshl v9.8h, v29.8h, v24.8h 4E3A45CF sshl v15.16b, v14.16b, v26.16b 0EBF45D8 sshl v24.2s, v14.2s, v31.2s 4EA245BF sshl v31.4s, v13.4s, v2.4s 0E2E455D sshl v29.8b, v10.8b, v14.8b // SSHL_asisdsame_only 01011110111xxxxx0100xxxxxxxxxxxx 5EE344DD sshl d29, d6, d3 5EEA4497 sshl d23, d4, d10 5EE4458D sshl d13, d12, d4 5EFB44D0 sshl d16, d6, d27 5EF345F3 sshl d19, d15, d19 5EE647A9 sshl d9, d29, d6 5EF6459D sshl d29, d12, d22 5EF84791 sshl d17, d28, d24 5EE74518 sshl d24, d8, d7 5EFD4489 sshl d9, d4, d29 5EF7468B sshl d11, d20, d23 5EFE46B4 sshl d20, d21, d30 5EFA46A0 sshl d0, d21, d26 5EF345F8 sshl d24, d15, d19 5EFA4549 sshl d9, d10, d26 5EE94645 sshl d5, d18, d9 // SSHR_asimdshf_R 0x0011110xxxxxxx000001xxxxxxxxxx 4F7D06E0 sshr v0.2d, v23.2d, #0x3 0F1B0592 sshr v18.4h, v12.4h, #0x5 4F34067E sshr v30.4s, v19.4s, #0xc 4F0E0673 sshr v19.16b, v19.16b, #0x2 4F6B07F5 sshr v21.2d, v31.2d, #0x15 4F4807C6 sshr v6.2d, v30.2d, #0x38 4F6F064C sshr v12.2d, v18.2d, #0x11 4F4E05AB sshr v11.2d, v13.2d, #0x32 0F3C05AE sshr v14.2s, v13.2s, #0x4 4F5407D5 sshr v21.2d, v30.2d, #0x2c 0F310576 sshr v22.2s, v11.2s, #0xf 0F2C050D sshr v13.2s, v8.2s, #0x14 0F1405F4 sshr v20.4h, v15.4h, #0xc 4F7B04D9 sshr v25.2d, v6.2d, #0x5 0F390593 sshr v19.2s, v12.2s, #0x7 4F1707F6 sshr v22.8h, v31.8h, #0x9 // SSHR_asisdshf_R 0101111101xxxxxx000001xxxxxxxxxx 5F450550 sshr d16, d10, #0x3b 5F4B0572 sshr d18, d11, #0x35 5F5504B7 sshr d23, d5, #0x2b 5F7305DE sshr d30, d14, #0xd 5F640481 sshr d1, d4, #0x1c 5F4505D1 sshr d17, d14, #0x3b 5F72072C sshr d12, d25, #0xe 5F4805FB sshr d27, d15, #0x38 5F6B07BA sshr d26, d29, #0x15 5F7504DB sshr d27, d6, #0xb 5F51066E sshr d14, d19, #0x2f 5F5F0605 sshr d5, d16, #0x21 5F73056E sshr d14, d11, #0xd 5F6E0531 sshr d17, d9, #0x12 5F6E0774 sshr d20, d27, #0x12 5F6C0403 sshr d3, d0, #0x14 // SSRA_asimdshf_R 0x0011110xxxxxxx000101xxxxxxxxxx 4F1317DB ssra v27.8h, v30.8h, #0xd 4F7B16B8 ssra v24.2d, v21.2d, #0x5 0F09156B ssra v11.8b, v11.8b, #0x7 4F421553 ssra v19.2d, v10.2d, #0x3e 4F161509 ssra v9.8h, v8.8h, #0xa 0F241421 ssra v1.2s, v1.2s, #0x1c 0F2C157A ssra v26.2s, v11.2s, #0x14 4F2916EE ssra v14.4s, v23.4s, #0x17 0F2117BE ssra v30.2s, v29.2s, #0x1f 4F29166B ssra v11.4s, v19.4s, #0x17 4F3A1725 ssra v5.4s, v25.4s, #0x6 4F1E174F ssra v15.8h, v26.8h, #0x2 0F341462 ssra v2.2s, v3.2s, #0xc 4F481560 ssra v0.2d, v11.2d, #0x38 0F2E1779 ssra v25.2s, v27.2s, #0x12 4F211720 ssra v0.4s, v25.4s, #0x1f // SSRA_asisdshf_R 0101111101xxxxxx000101xxxxxxxxxx 5F5B1778 ssra d24, d27, #0x25 5F5D1439 ssra d25, d1, #0x23 5F601604 ssra d4, d16, #0x20 5F4C140D ssra d13, d0, #0x34 5F5F1539 ssra d25, d9, #0x21 5F7214FD ssra d29, d7, #0xe 5F5116E2 ssra d2, d23, #0x2f 5F661712 ssra d18, d24, #0x1a 5F6214B2 ssra d18, d5, #0x1e 5F7817D1 ssra d17, d30, #0x8 5F6317E8 ssra d8, d31, #0x1d 5F501604 ssra d4, d16, #0x30 5F681419 ssra d25, d0, #0x18 5F691779 ssra d25, d27, #0x17 5F4214BF ssra d31, d5, #0x3e 5F4514D8 ssra d24, d6, #0x3b // SSUBL_asimddiff_L 0x001110xx1xxxxx001000xxxxxxxxxx 4EA9236C ssubl2 v12.2d, v27.4s, v9.4s 0E3820CB ssubl v11.8h, v6.8b, v24.8b 4EAF2117 ssubl2 v23.2d, v8.4s, v15.4s 0E382172 ssubl v18.8h, v11.8b, v24.8b 4EA820B0 ssubl2 v16.2d, v5.4s, v8.4s 4E3C2059 ssubl2 v25.8h, v2.16b, v28.16b 4EA12123 ssubl2 v3.2d, v9.4s, v1.4s 4E2022D2 ssubl2 v18.8h, v22.16b, v0.16b 0E2321AB ssubl v11.8h, v13.8b, v3.8b 4EA72112 ssubl2 v18.2d, v8.4s, v7.4s 0EB42334 ssubl v20.2d, v25.2s, v20.2s 0E642191 ssubl v17.4s, v12.4h, v4.4h 4EA02368 ssubl2 v8.2d, v27.4s, v0.4s 0E7A234E ssubl v14.4s, v26.4h, v26.4h 4EA02184 ssubl2 v4.2d, v12.4s, v0.4s 4E6D20EE ssubl2 v14.4s, v7.8h, v13.8h // SSUBW_asimddiff_W 0x001110xx1xxxxx001100xxxxxxxxxx 0E2033E1 ssubw v1.8h, v31.8h, v0.8b 4E2F30C5 ssubw2 v5.8h, v6.8h, v15.16b 0EBE323F ssubw v31.2d, v17.2d, v30.2s 0E2130EE ssubw v14.8h, v7.8h, v1.8b 0E2A317C ssubw v28.8h, v11.8h, v10.8b 0EB53364 ssubw v4.2d, v27.2d, v21.2s 4E333088 ssubw2 v8.8h, v4.8h, v19.16b 4EB730A7 ssubw2 v7.2d, v5.2d, v23.4s 0E2C32F3 ssubw v19.8h, v23.8h, v12.8b 4EB231F7 ssubw2 v23.2d, v15.2d, v18.4s 4EA032FE ssubw2 v30.2d, v23.2d, v0.4s 0E3D315C ssubw v28.8h, v10.8h, v29.8b 0E673301 ssubw v1.4s, v24.4s, v7.4h 4EB13089 ssubw2 v9.2d, v4.2d, v17.4s 0EAC3145 ssubw v5.2d, v10.2d, v12.2s 0E2E31A0 ssubw v0.8h, v13.8h, v14.8b // ST1_asisdlse_R1_1v 0x001100000000000111xxxxxxxxxxxx 0C007B63 st1 {v3.2s}, [x27] 0C007396 st1 {v22.8b}, [x28] 4C007012 st1 {v18.16b}, [x0] 0C0070C3 st1 {v3.8b}, [x6] 0C0076A8 st1 {v8.4h}, [x21] 0C007123 st1 {v3.8b}, [x9] 0C007B25 st1 {v5.2s}, [x25] 4C0077F4 st1 {v20.8h}, [sp] 4C007960 st1 {v0.4s}, [x11] 0C007DD7 st1 {v23.1d}, [x14] 4C0073AA st1 {v10.16b}, [x29] 4C0071C7 st1 {v7.16b}, [x14] 0C00739B st1 {v27.8b}, [x28] 4C007A9C st1 {v28.4s}, [x20] 0C007ED3 st1 {v19.1d}, [x22] 0C007819 st1 {v25.2s}, [x0] // ST1_asisdlse_R2_2v 0x001100000000001010xxxxxxxxxxxx 4C00A9C6 st1 {v6.4s, v7.4s}, [x14] 0C00A57D st1 {v29.4h, v30.4h}, [x11] 0C00AD26 st1 {v6.1d, v7.1d}, [x9] 0C00A3CC st1 {v12.8b, v13.8b}, [x30] 0C00AF3B st1 {v27.1d, v28.1d}, [x25] 4C00A356 st1 {v22.16b, v23.16b}, [x26] 0C00A22A st1 {v10.8b, v11.8b}, [x17] 4C00A258 st1 {v24.16b, v25.16b}, [x18] 0C00A74D st1 {v13.4h, v14.4h}, [x26] 0C00A3B6 st1 {v22.8b, v23.8b}, [x29] 4C00A234 st1 {v20.16b, v21.16b}, [x17] 4C00A15C st1 {v28.16b, v29.16b}, [x10] 4C00AF74 st1 {v20.2d, v21.2d}, [x27] 4C00A4FE st1 {v30.8h, v31.8h}, [x7] 0C00A562 st1 {v2.4h, v3.4h}, [x11] 4C00A66E st1 {v14.8h, v15.8h}, [x19] // ST1_asisdlse_R3_3v 0x001100000000000110xxxxxxxxxxxx 4C006E3B st1 {v27.2d, v28.2d, v29.2d}, [x17] 4C00682A st1 {v10.4s, v11.4s, v12.4s}, [x1] 4C006DEE st1 {v14.2d, v15.2d, v16.2d}, [x15] 0C0067DE st1 {v30.4h, v31.4h, v0.4h}, [x30] 0C00636F st1 {v15.8b, v16.8b, v17.8b}, [x27] 4C006DAE st1 {v14.2d, v15.2d, v16.2d}, [x13] 4C006B0D st1 {v13.4s, v14.4s, v15.4s}, [x24] 0C006B42 st1 {v2.2s, v3.2s, v4.2s}, [x26] 4C006D30 st1 {v16.2d, v17.2d, v18.2d}, [x9] 0C006DD0 st1 {v16.1d, v17.1d, v18.1d}, [x14] 0C0069BF st1 {v31.2s, v0.2s, v1.2s}, [x13] 0C006CF7 st1 {v23.1d, v24.1d, v25.1d}, [x7] 0C006640 st1 {v0.4h, v1.4h, v2.4h}, [x18] 0C006082 st1 {v2.8b, v3.8b, v4.8b}, [x4] 0C0068E2 st1 {v2.2s, v3.2s, v4.2s}, [x7] 0C006D15 st1 {v21.1d, v22.1d, v23.1d}, [x8] // ST1_asisdlse_R4_4v 0x001100000000000010xxxxxxxxxxxx 4C002FC1 st1 {v1.2d, v2.2d, v3.2d, v4.2d}, [x30] 4C0029BA st1 {v26.4s, v27.4s, v28.4s, v29.4s}, [x13] 0C0029DE st1 {v30.2s, v31.2s, v0.2s, v1.2s}, [x14] 0C00284D st1 {v13.2s, v14.2s, v15.2s, v16.2s}, [x2] 0C002AD9 st1 {v25.2s, v26.2s, v27.2s, v28.2s}, [x22] 4C002F25 st1 {v5.2d, v6.2d, v7.2d, v8.2d}, [x25] 0C002A2F st1 {v15.2s, v16.2s, v17.2s, v18.2s}, [x17] 0C002CF9 st1 {v25.1d, v26.1d, v27.1d, v28.1d}, [x7] 4C002488 st1 {v8.8h, v9.8h, v10.8h, v11.8h}, [x4] 0C002F6C st1 {v12.1d, v13.1d, v14.1d, v15.1d}, [x27] 4C0029AC st1 {v12.4s, v13.4s, v14.4s, v15.4s}, [x13] 0C0027CA st1 {v10.4h, v11.4h, v12.4h, v13.4h}, [x30] 4C002ACB st1 {v11.4s, v12.4s, v13.4s, v14.4s}, [x22] 0C002F0C st1 {v12.1d, v13.1d, v14.1d, v15.1d}, [x24] 4C002986 st1 {v6.4s, v7.4s, v8.4s, v9.4s}, [x12] 0C00264D st1 {v13.4h, v14.4h, v15.4h, v16.4h}, [x18] // ST1_asisdlsep_I1_i1 0x001100100111110111xxxxxxxxxxxx 4C9F7D45 st1 {v5.2d}, [x10], #0x10 4C9F7CB4 st1 {v20.2d}, [x5], #0x10 0C9F7060 st1 {v0.8b}, [x3], #0x8 0C9F7EA1 st1 {v1.1d}, [x21], #0x8 0C9F7E5D st1 {v29.1d}, [x18], #0x8 0C9F7638 st1 {v24.4h}, [x17], #0x8 0C9F7A47 st1 {v7.2s}, [x18], #0x8 4C9F7BCE st1 {v14.4s}, [x30], #0x10 4C9F7A0B st1 {v11.4s}, [x16], #0x10 4C9F7B15 st1 {v21.4s}, [x24], #0x10 0C9F7092 st1 {v18.8b}, [x4], #0x8 4C9F7365 st1 {v5.16b}, [x27], #0x10 0C9F78C2 st1 {v2.2s}, [x6], #0x8 4C9F7128 st1 {v8.16b}, [x9], #0x10 0C9F73B4 st1 {v20.8b}, [x29], #0x8 0C9F7702 st1 {v2.4h}, [x24], #0x8 // ST1_asisdlsep_I2_i2 0x001100100111111010xxxxxxxxxxxx 0C9FA81A st1 {v26.2s, v27.2s}, [x0], #0x10 4C9FA98A st1 {v10.4s, v11.4s}, [x12], #0x20 0C9FAE5A st1 {v26.1d, v27.1d}, [x18], #0x10 0C9FA8FF st1 {v31.2s, v0.2s}, [x7], #0x10 0C9FA3B8 st1 {v24.8b, v25.8b}, [x29], #0x10 0C9FAF10 st1 {v16.1d, v17.1d}, [x24], #0x10 0C9FA382 st1 {v2.8b, v3.8b}, [x28], #0x10 0C9FA1FF st1 {v31.8b, v0.8b}, [x15], #0x10 4C9FA023 st1 {v3.16b, v4.16b}, [x1], #0x20 4C9FAC96 st1 {v22.2d, v23.2d}, [x4], #0x20 0C9FA3C9 st1 {v9.8b, v10.8b}, [x30], #0x10 0C9FA564 st1 {v4.4h, v5.4h}, [x11], #0x10 4C9FA78A st1 {v10.8h, v11.8h}, [x28], #0x20 0C9FAC12 st1 {v18.1d, v19.1d}, [x0], #0x10 4C9FA930 st1 {v16.4s, v17.4s}, [x9], #0x20 0C9FAF94 st1 {v20.1d, v21.1d}, [x28], #0x10 // ST1_asisdlsep_I3_i3 0x001100100111110110xxxxxxxxxxxx 4C9F689A st1 {v26.4s, v27.4s, v28.4s}, [x4], #0x30 4C9F6B01 st1 {v1.4s, v2.4s, v3.4s}, [x24], #0x30 4C9F6431 st1 {v17.8h, v18.8h, v19.8h}, [x1], #0x30 4C9F6266 st1 {v6.16b, v7.16b, v8.16b}, [x19], #0x30 0C9F67E1 st1 {v1.4h, v2.4h, v3.4h}, [sp], #0x18 0C9F6A6C st1 {v12.2s, v13.2s, v14.2s}, [x19], #0x18 0C9F6E25 st1 {v5.1d, v6.1d, v7.1d}, [x17], #0x18 0C9F6395 st1 {v21.8b, v22.8b, v23.8b}, [x28], #0x18 0C9F6ECB st1 {v11.1d, v12.1d, v13.1d}, [x22], #0x18 0C9F6E24 st1 {v4.1d, v5.1d, v6.1d}, [x17], #0x18 0C9F67EC st1 {v12.4h, v13.4h, v14.4h}, [sp], #0x18 0C9F626F st1 {v15.8b, v16.8b, v17.8b}, [x19], #0x18 0C9F6161 st1 {v1.8b, v2.8b, v3.8b}, [x11], #0x18 0C9F6DCE st1 {v14.1d, v15.1d, v16.1d}, [x14], #0x18 4C9F66D1 st1 {v17.8h, v18.8h, v19.8h}, [x22], #0x30 0C9F6B9F st1 {v31.2s, v0.2s, v1.2s}, [x28], #0x18 // ST1_asisdlsep_I4_i4 0x001100100111110010xxxxxxxxxxxx 4C9F2C24 st1 {v4.2d, v5.2d, v6.2d, v7.2d}, [x1], #0x40 0C9F2947 st1 {v7.2s, v8.2s, v9.2s, v10.2s}, [x10], #0x20 4C9F28ED st1 {v13.4s, v14.4s, v15.4s, v16.4s}, [x7], #0x40 0C9F2226 st1 {v6.8b, v7.8b, v8.8b, v9.8b}, [x17], #0x20 0C9F2AA1 st1 {v1.2s, v2.2s, v3.2s, v4.2s}, [x21], #0x20 4C9F2EA4 st1 {v4.2d, v5.2d, v6.2d, v7.2d}, [x21], #0x40 0C9F26F6 st1 {v22.4h, v23.4h, v24.4h, v25.4h}, [x23], #0x20 4C9F23D8 st1 {v24.16b, v25.16b, v26.16b, v27.16b}, [x30], #0x40 4C9F25FF st1 {v31.8h, v0.8h, v1.8h, v2.8h}, [x15], #0x40 0C9F2262 st1 {v2.8b, v3.8b, v4.8b, v5.8b}, [x19], #0x20 4C9F20EC st1 {v12.16b, v13.16b, v14.16b, v15.16b}, [x7], #0x40 0C9F2DA5 st1 {v5.1d, v6.1d, v7.1d, v8.1d}, [x13], #0x20 4C9F24C8 st1 {v8.8h, v9.8h, v10.8h, v11.8h}, [x6], #0x40 0C9F241C st1 {v28.4h, v29.4h, v30.4h, v31.4h}, [x0], #0x20 4C9F2EB1 st1 {v17.2d, v18.2d, v19.2d, v20.2d}, [x21], #0x40 0C9F259D st1 {v29.4h, v30.4h, v31.4h, v0.4h}, [x12], #0x20 // ST1_asisdlsep_R1_r1 0x001100100xxxxx0111xxxxxxxxxxxx 0C8D7C10 st1 {v16.1d}, [x0], x13 4C977AEC st1 {v12.4s}, [x23], x23 0C8F7BBB st1 {v27.2s}, [x29], x15 0C917005 st1 {v5.8b}, [x0], x17 0C827EA3 st1 {v3.1d}, [x21], x2 4C857D8E st1 {v14.2d}, [x12], x5 4C9A773F st1 {v31.8h}, [x25], x26 0C9A765C st1 {v28.4h}, [x18], x26 0C8B7A6F st1 {v15.2s}, [x19], x11 4C9571D8 st1 {v24.16b}, [x14], x21 0C8F708A st1 {v10.8b}, [x4], x15 0C967999 st1 {v25.2s}, [x12], x22 4C877571 st1 {v17.8h}, [x11], x7 0C807BD2 st1 {v18.2s}, [x30], x0 4C917C9F st1 {v31.2d}, [x4], x17 0C80779D st1 {v29.4h}, [x28], x0 // ST1_asisdlsep_R2_r2 0x001100100xxxxx1010xxxxxxxxxxxx 4C88A9F1 st1 {v17.4s, v18.4s}, [x15], x8 4C8AA2BE st1 {v30.16b, v31.16b}, [x21], x10 0C86ADE8 st1 {v8.1d, v9.1d}, [x15], x6 4C9AAA9A st1 {v26.4s, v27.4s}, [x20], x26 0C8FAAE5 st1 {v5.2s, v6.2s}, [x23], x15 4C92A171 st1 {v17.16b, v18.16b}, [x11], x18 4C8CA64E st1 {v14.8h, v15.8h}, [x18], x12 0C99A21F st1 {v31.8b, v0.8b}, [x16], x25 0C9DAADA st1 {v26.2s, v27.2s}, [x22], x29 0C8BAE49 st1 {v9.1d, v10.1d}, [x18], x11 4C83A573 st1 {v19.8h, v20.8h}, [x11], x3 4C91A7A1 st1 {v1.8h, v2.8h}, [x29], x17 0C8EAE0E st1 {v14.1d, v15.1d}, [x16], x14 0C9CA87C st1 {v28.2s, v29.2s}, [x3], x28 0C8BAC90 st1 {v16.1d, v17.1d}, [x4], x11 0C80ADC6 st1 {v6.1d, v7.1d}, [x14], x0 // ST1_asisdlsep_R3_r3 0x001100100xxxxx0110xxxxxxxxxxxx 0C846724 st1 {v4.4h, v5.4h, v6.4h}, [x25], x4 0C826F61 st1 {v1.1d, v2.1d, v3.1d}, [x27], x2 4C906B84 st1 {v4.4s, v5.4s, v6.4s}, [x28], x16 0C9C6641 st1 {v1.4h, v2.4h, v3.4h}, [x18], x28 4C9C6DF8 st1 {v24.2d, v25.2d, v26.2d}, [x15], x28 0C886DAC st1 {v12.1d, v13.1d, v14.1d}, [x13], x8 0C86625B st1 {v27.8b, v28.8b, v29.8b}, [x18], x6 0C9661A9 st1 {v9.8b, v10.8b, v11.8b}, [x13], x22 4C826A9F st1 {v31.4s, v0.4s, v1.4s}, [x20], x2 0C986FB5 st1 {v21.1d, v22.1d, v23.1d}, [x29], x24 0C9E668B st1 {v11.4h, v12.4h, v13.4h}, [x20], x30 0C8F6A6E st1 {v14.2s, v15.2s, v16.2s}, [x19], x15 4C9B6656 st1 {v22.8h, v23.8h, v24.8h}, [x18], x27 0C846805 st1 {v5.2s, v6.2s, v7.2s}, [x0], x4 0C936F8F st1 {v15.1d, v16.1d, v17.1d}, [x28], x19 4C9866CB st1 {v11.8h, v12.8h, v13.8h}, [x22], x24 // ST1_asisdlsep_R4_r4 0x001100100xxxxx0010xxxxxxxxxxxx 0C9328EB st1 {v11.2s, v12.2s, v13.2s, v14.2s}, [x7], x19 4C8C2B3B st1 {v27.4s, v28.4s, v29.4s, v30.4s}, [x25], x12 4C84287B st1 {v27.4s, v28.4s, v29.4s, v30.4s}, [x3], x4 0C922C37 st1 {v23.1d, v24.1d, v25.1d, v26.1d}, [x1], x18 4C9B2B2E st1 {v14.4s, v15.4s, v16.4s, v17.4s}, [x25], x27 0C82260C st1 {v12.4h, v13.4h, v14.4h, v15.4h}, [x16], x2 4C88285D st1 {v29.4s, v30.4s, v31.4s, v0.4s}, [x2], x8 0C8925A9 st1 {v9.4h, v10.4h, v11.4h, v12.4h}, [x13], x9 0C9C2449 st1 {v9.4h, v10.4h, v11.4h, v12.4h}, [x2], x28 0C902D93 st1 {v19.1d, v20.1d, v21.1d, v22.1d}, [x12], x16 4C8A29BC st1 {v28.4s, v29.4s, v30.4s, v31.4s}, [x13], x10 0C992C76 st1 {v22.1d, v23.1d, v24.1d, v25.1d}, [x3], x25 0C992986 st1 {v6.2s, v7.2s, v8.2s, v9.2s}, [x12], x25 0C822285 st1 {v5.8b, v6.8b, v7.8b, v8.8b}, [x20], x2 0C8324E7 st1 {v7.4h, v8.4h, v9.4h, v10.4h}, [x7], x3 4C9B2C57 st1 {v23.2d, v24.2d, v25.2d, v26.2d}, [x2], x27 // ST1_asisdlso_B1_1b 0x00110100000000000xxxxxxxxxxxxx 0D001AD4 st1 {v20.b}[6], [x22] 4D0006AC st1 {v12.b}[9], [x21] 0D00179E st1 {v30.b}[5], [x28] 4D001E46 st1 {v6.b}[15], [x18] 0D001D77 st1 {v23.b}[7], [x11] 4D001C90 st1 {v16.b}[15], [x4] 0D000DB1 st1 {v17.b}[3], [x13] 0D001381 st1 {v1.b}[4], [x28] 0D001977 st1 {v23.b}[6], [x11] 4D00073F st1 {v31.b}[9], [x25] 4D001C54 st1 {v20.b}[15], [x2] 4D0013CD st1 {v13.b}[12], [x30] 0D001AA8 st1 {v8.b}[6], [x21] 4D00188B st1 {v11.b}[14], [x4] 0D001CCE st1 {v14.b}[7], [x6] 4D0007EA st1 {v10.b}[9], [sp] // ST1_asisdlso_D1_1d 0x00110100000000100001xxxxxxxxxx 0D0087E5 st1 {v5.d}[0], [sp] 0D00863B st1 {v27.d}[0], [x17] 0D008451 st1 {v17.d}[0], [x2] 0D00875E st1 {v30.d}[0], [x26] 0D0087F4 st1 {v20.d}[0], [sp] 4D008651 st1 {v17.d}[1], [x18] 4D008430 st1 {v16.d}[1], [x1] 0D008492 st1 {v18.d}[0], [x4] 0D008649 st1 {v9.d}[0], [x18] 4D0087E9 st1 {v9.d}[1], [sp] 4D008427 st1 {v7.d}[1], [x1] 4D0087D5 st1 {v21.d}[1], [x30] 4D00863C st1 {v28.d}[1], [x17] 4D0084F9 st1 {v25.d}[1], [x7] 0D008722 st1 {v2.d}[0], [x25] 0D008547 st1 {v7.d}[0], [x10] // ST1_asisdlso_H1_1h 0x00110100000000010xx0xxxxxxxxxx 4D0043D4 st1 {v20.h}[4], [x30] 4D004AC1 st1 {v1.h}[5], [x22] 4D00485C st1 {v28.h}[5], [x2] 0D00413A st1 {v26.h}[0], [x9] 4D0059E6 st1 {v6.h}[7], [x15] 0D004ADF st1 {v31.h}[1], [x22] 0D005BA2 st1 {v2.h}[3], [x29] 4D005283 st1 {v3.h}[6], [x20] 0D0050D1 st1 {v17.h}[2], [x6] 4D0052A8 st1 {v8.h}[6], [x21] 4D005366 st1 {v6.h}[6], [x27] 0D004AAD st1 {v13.h}[1], [x21] 0D0049CC st1 {v12.h}[1], [x14] 0D005A13 st1 {v19.h}[3], [x16] 0D0043E1 st1 {v1.h}[0], [sp] 4D004887 st1 {v7.h}[5], [x4] // ST1_asisdlso_S1_1s 0x00110100000000100x00xxxxxxxxxx 0D00813E st1 {v30.s}[0], [x9] 0D008001 st1 {v1.s}[0], [x0] 0D009144 st1 {v4.s}[1], [x10] 0D008306 st1 {v6.s}[0], [x24] 0D00924B st1 {v11.s}[1], [x18] 0D008369 st1 {v9.s}[0], [x27] 0D0090E3 st1 {v3.s}[1], [x7] 4D009113 st1 {v19.s}[3], [x8] 0D00836E st1 {v14.s}[0], [x27] 4D008006 st1 {v6.s}[2], [x0] 4D00815A st1 {v26.s}[2], [x10] 0D0083AA st1 {v10.s}[0], [x29] 4D0093EE st1 {v14.s}[3], [sp] 4D00825E st1 {v30.s}[2], [x18] 4D0083F3 st1 {v19.s}[2], [sp] 0D008365 st1 {v5.s}[0], [x27] // ST1_asisdlsop_B1_i1b 0x00110110011111000xxxxxxxxxxxxx 4D9F0555 st1 {v21.b}[9], [x10], #0x1 4D9F1CBC st1 {v28.b}[15], [x5], #0x1 4D9F122D st1 {v13.b}[12], [x17], #0x1 0D9F1843 st1 {v3.b}[6], [x2], #0x1 4D9F1D09 st1 {v9.b}[15], [x8], #0x1 4D9F0B35 st1 {v21.b}[10], [x25], #0x1 4D9F02D5 st1 {v21.b}[8], [x22], #0x1 0D9F087D st1 {v29.b}[2], [x3], #0x1 0D9F0EC5 st1 {v5.b}[3], [x22], #0x1 4D9F06D1 st1 {v17.b}[9], [x22], #0x1 0D9F0425 st1 {v5.b}[1], [x1], #0x1 4D9F14D7 st1 {v23.b}[13], [x6], #0x1 4D9F11DB st1 {v27.b}[12], [x14], #0x1 4D9F00BB st1 {v27.b}[8], [x5], #0x1 4D9F0187 st1 {v7.b}[8], [x12], #0x1 0D9F0FDF st1 {v31.b}[3], [x30], #0x1 // ST1_asisdlsop_BX1_r1b 0x001101100xxxxx000xxxxxxxxxxxxx 0D850788 st1 {v8.b}[1], [x28], x5 0D820DE2 st1 {v2.b}[3], [x15], x2 0D9C0227 st1 {v7.b}[0], [x17], x28 0D81178C st1 {v12.b}[5], [x28], x1 4D8E0D0D st1 {v13.b}[11], [x8], x14 0D951262 st1 {v2.b}[4], [x19], x21 4D931568 st1 {v8.b}[13], [x11], x19 0D9C1337 st1 {v23.b}[4], [x25], x28 0D861EE6 st1 {v6.b}[7], [x23], x6 0D840DB0 st1 {v16.b}[3], [x13], x4 0D8E114E st1 {v14.b}[4], [x10], x14 0D870B5D st1 {v29.b}[2], [x26], x7 0D971A0D st1 {v13.b}[6], [x16], x23 0D8E1504 st1 {v4.b}[5], [x8], x14 4D8E0819 st1 {v25.b}[10], [x0], x14 4D8718DD st1 {v29.b}[14], [x6], x7 // ST1_asisdlsop_D1_i1d 0x00110110011111100001xxxxxxxxxx 4D9F85F0 st1 {v16.d}[1], [x15], #0x8 0D9F85A0 st1 {v0.d}[0], [x13], #0x8 0D9F853B st1 {v27.d}[0], [x9], #0x8 4D9F8595 st1 {v21.d}[1], [x12], #0x8 4D9F8502 st1 {v2.d}[1], [x8], #0x8 4D9F850B st1 {v11.d}[1], [x8], #0x8 0D9F840A st1 {v10.d}[0], [x0], #0x8 0D9F8634 st1 {v20.d}[0], [x17], #0x8 0D9F842C st1 {v12.d}[0], [x1], #0x8 4D9F8665 st1 {v5.d}[1], [x19], #0x8 4D9F86A7 st1 {v7.d}[1], [x21], #0x8 0D9F86A1 st1 {v1.d}[0], [x21], #0x8 0D9F862B st1 {v11.d}[0], [x17], #0x8 4D9F843D st1 {v29.d}[1], [x1], #0x8 4D9F864A st1 {v10.d}[1], [x18], #0x8 4D9F86D3 st1 {v19.d}[1], [x22], #0x8 // ST1_asisdlsop_DX1_r1d 0x001101100xxxxx100001xxxxxxxxxx 4D9C84AB st1 {v11.d}[1], [x5], x28 4D8C84AC st1 {v12.d}[1], [x5], x12 0D9B871D st1 {v29.d}[0], [x24], x27 4D8685B3 st1 {v19.d}[1], [x13], x6 0D8486EF st1 {v15.d}[0], [x23], x4 0D9887D6 st1 {v22.d}[0], [x30], x24 0D9D8706 st1 {v6.d}[0], [x24], x29 4D9785EC st1 {v12.d}[1], [x15], x23 4D8B8780 st1 {v0.d}[1], [x28], x11 0D868400 st1 {v0.d}[0], [x0], x6 4D9685DB st1 {v27.d}[1], [x14], x22 0D8685B0 st1 {v16.d}[0], [x13], x6 0D878778 st1 {v24.d}[0], [x27], x7 4D8E8467 st1 {v7.d}[1], [x3], x14 4D8987F2 st1 {v18.d}[1], [sp], x9 0D898732 st1 {v18.d}[0], [x25], x9 // ST1_asisdlsop_H1_i1h 0x00110110011111010xx0xxxxxxxxxx 0D9F5911 st1 {v17.h}[3], [x8], #0x2 4D9F412D st1 {v13.h}[4], [x9], #0x2 4D9F50CD st1 {v13.h}[6], [x6], #0x2 4D9F5396 st1 {v22.h}[6], [x28], #0x2 0D9F53DF st1 {v31.h}[2], [x30], #0x2 4D9F4239 st1 {v25.h}[4], [x17], #0x2 4D9F4BDC st1 {v28.h}[5], [x30], #0x2 4D9F41BA st1 {v26.h}[4], [x13], #0x2 0D9F5337 st1 {v23.h}[2], [x25], #0x2 4D9F4939 st1 {v25.h}[5], [x9], #0x2 0D9F428C st1 {v12.h}[0], [x20], #0x2 4D9F514C st1 {v12.h}[6], [x10], #0x2 4D9F538C st1 {v12.h}[6], [x28], #0x2 4D9F4A75 st1 {v21.h}[5], [x19], #0x2 4D9F52BD st1 {v29.h}[6], [x21], #0x2 0D9F4AEB st1 {v11.h}[1], [x23], #0x2 // ST1_asisdlsop_HX1_r1h 0x001101100xxxxx010xx0xxxxxxxxxx 4D8F5330 st1 {v16.h}[6], [x25], x15 0D80584D st1 {v13.h}[3], [x2], x0 4D814895 st1 {v21.h}[5], [x4], x1 0D8B4097 st1 {v23.h}[0], [x4], x11 0D89427A st1 {v26.h}[0], [x19], x9 4D8C4155 st1 {v21.h}[4], [x10], x12 0D9A5AC1 st1 {v1.h}[3], [x22], x26 4D8F51A3 st1 {v3.h}[6], [x13], x15 0D834180 st1 {v0.h}[0], [x12], x3 4D9E4139 st1 {v25.h}[4], [x9], x30 0D9A5BD8 st1 {v24.h}[3], [x30], x26 4D814065 st1 {v5.h}[4], [x3], x1 0D974B60 st1 {v0.h}[1], [x27], x23 4D87505A st1 {v26.h}[6], [x2], x7 4D924075 st1 {v21.h}[4], [x3], x18 0D9C50FF st1 {v31.h}[2], [x7], x28 // ST1_asisdlsop_S1_i1s 0x00110110011111100x00xxxxxxxxxx 0D9F8389 st1 {v9.s}[0], [x28], #0x4 4D9F90DF st1 {v31.s}[3], [x6], #0x4 0D9F8075 st1 {v21.s}[0], [x3], #0x4 4D9F915D st1 {v29.s}[3], [x10], #0x4 4D9F82C2 st1 {v2.s}[2], [x22], #0x4 4D9F93F0 st1 {v16.s}[3], [sp], #0x4 4D9F918C st1 {v12.s}[3], [x12], #0x4 4D9F935D st1 {v29.s}[3], [x26], #0x4 0D9F9256 st1 {v22.s}[1], [x18], #0x4 0D9F826C st1 {v12.s}[0], [x19], #0x4 4D9F93EA st1 {v10.s}[3], [sp], #0x4 4D9F8342 st1 {v2.s}[2], [x26], #0x4 0D9F8223 st1 {v3.s}[0], [x17], #0x4 4D9F8019 st1 {v25.s}[2], [x0], #0x4 4D9F9109 st1 {v9.s}[3], [x8], #0x4 0D9F9041 st1 {v1.s}[1], [x2], #0x4 // ST1_asisdlsop_SX1_r1s 0x001101100xxxxx100x00xxxxxxxxxx 0D9690D3 st1 {v19.s}[1], [x6], x22 0D9583A1 st1 {v1.s}[0], [x29], x21 0D8F937B st1 {v27.s}[1], [x27], x15 0D8D83A3 st1 {v3.s}[0], [x29], x13 0D9C82DF st1 {v31.s}[0], [x22], x28 0D929294 st1 {v20.s}[1], [x20], x18 0D9091E7 st1 {v7.s}[1], [x15], x16 0D928232 st1 {v18.s}[0], [x17], x18 0D81919D st1 {v29.s}[1], [x12], x1 0D898090 st1 {v16.s}[0], [x4], x9 0D9E8083 st1 {v3.s}[0], [x4], x30 0D9C81AC st1 {v12.s}[0], [x13], x28 4D85839B st1 {v27.s}[2], [x28], x5 0D8182C8 st1 {v8.s}[0], [x22], x1 0D809047 st1 {v7.s}[1], [x2], x0 0D87800A st1 {v10.s}[0], [x0], x7 // ST2G_64Soffset_ldsttags 11011001101xxxxxxxxx10xxxxxxxxxx D9A55BC6 st2g x6, [x30, #0x550] D9BDFB1C st2g x28, [x24, #-0x210] D9A45A85 st2g x5, [x20, #0x450] D9BC2A42 st2g x2, [x18, #-0x3e0] D9B50AA4 st2g x4, [x21, #-0xb00] D9AEFB52 st2g x18, [x26, #0xef0] D9A73B8E st2g x14, [x28, #0x730] D9A02985 st2g x5, [x12, #0x20] D9A9FB78 st2g x24, [x27, #0x9f0] D9B29BE5 st2g x5, [sp, #-0xd70] D9AB1B9F st2g sp, [x28, #0xb10] D9B89BEE st2g x14, [sp, #-0x770] D9B8F954 st2g x20, [x10, #-0x710] D9B53B52 st2g x18, [x26, #-0xad0] D9A6680B st2g x11, [x0, #0x660] D9A2C9D0 st2g x16, [x14, #0x2c0] // ST2G_64Spost_ldsttags 11011001101xxxxxxxxx01xxxxxxxxxx D9A05615 st2g x21, [x16], #0x50 D9A5B782 st2g x2, [x28], #0x5b0 D9B975BB st2g x27, [x13], #-0x690 D9AB1539 st2g x25, [x9], #0xb10 D9BEC5C7 st2g x7, [x14], #-0x140 D9BDE78B st2g x11, [x28], #-0x220 D9AA87FE st2g x30, [sp], #0xa80 D9A6D479 st2g x25, [x3], #0x6d0 D9BE9668 st2g x8, [x19], #-0x170 D9A48778 st2g x24, [x27], #0x480 D9B76705 st2g x5, [x24], #-0x8a0 D9A7A726 st2g x6, [x25], #0x7a0 D9A525B1 st2g x17, [x13], #0x520 D9A475FC st2g x28, [x15], #0x470 D9B8B771 st2g x17, [x27], #-0x750 D9B0F5BD st2g x29, [x13], #-0xf10 // ST2G_64Spre_ldsttags 11011001101xxxxxxxxx11xxxxxxxxxx D9BC2FB0 st2g x16, [x29, #-0x3e0]! D9A28C0C st2g x12, [x0, #0x280]! D9B2DEAF st2g x15, [x21, #-0xd30]! D9BF1CB2 st2g x18, [x5, #-0xf0]! D9B66F7F st2g sp, [x27, #-0x9a0]! D9B2BFA8 st2g x8, [x29, #-0xd50]! D9B61D1B st2g x27, [x8, #-0x9f0]! D9B3BE88 st2g x8, [x20, #-0xc50]! D9BC8D84 st2g x4, [x12, #-0x380]! D9AE8FBB st2g x27, [x29, #0xe80]! D9B9CCCB st2g x11, [x6, #-0x640]! D9BD6F74 st2g x20, [x27, #-0x2a0]! D9BD2EB0 st2g x16, [x21, #-0x2e0]! D9AC9CFA st2g x26, [x7, #0xc90]! D9BE8D90 st2g x16, [x12, #-0x180]! D9B1BD87 st2g x7, [x12, #-0xe50]! // ST2_asisdlse_R2 0x001100000000001000xxxxxxxxxxxx 4C0086D6 st2 {v22.8h, v23.8h}, [x22] 4C008ECA st2 {v10.2d, v11.2d}, [x22] 4C0081B9 st2 {v25.16b, v26.16b}, [x13] 0C0089CA st2 {v10.2s, v11.2s}, [x14] 4C008A45 st2 {v5.4s, v6.4s}, [x18] 0C008457 st2 {v23.4h, v24.4h}, [x2] 4C008BB6 st2 {v22.4s, v23.4s}, [x29] 0C00875B st2 {v27.4h, v28.4h}, [x26] 4C008EFC st2 {v28.2d, v29.2d}, [x23] 0C008752 st2 {v18.4h, v19.4h}, [x26] 0C00877C st2 {v28.4h, v29.4h}, [x27] 4C0087B3 st2 {v19.8h, v20.8h}, [x29] 4C008FCD st2 {v13.2d, v14.2d}, [x30] 4C0082C4 st2 {v4.16b, v5.16b}, [x22] 4C008260 st2 {v0.16b, v1.16b}, [x19] 0C0085BA st2 {v26.4h, v27.4h}, [x13] // ST2_asisdlsep_I2_i 0x001100100111111000xxxxxxxxxxxx 0C9F810E st2 {v14.8b, v15.8b}, [x8], #0x10 0C9F8425 st2 {v5.4h, v6.4h}, [x1], #0x10 4C9F8399 st2 {v25.16b, v26.16b}, [x28], #0x20 0C9F8156 st2 {v22.8b, v23.8b}, [x10], #0x10 0C9F87E3 st2 {v3.4h, v4.4h}, [sp], #0x10 4C9F8336 st2 {v22.16b, v23.16b}, [x25], #0x20 4C9F8A60 st2 {v0.4s, v1.4s}, [x19], #0x20 0C9F86FB st2 {v27.4h, v28.4h}, [x23], #0x10 4C9F8CC8 st2 {v8.2d, v9.2d}, [x6], #0x20 4C9F8AE0 st2 {v0.4s, v1.4s}, [x23], #0x20 4C9F8BB8 st2 {v24.4s, v25.4s}, [x29], #0x20 0C9F8132 st2 {v18.8b, v19.8b}, [x9], #0x10 0C9F8BB3 st2 {v19.2s, v20.2s}, [x29], #0x10 0C9F87FD st2 {v29.4h, v30.4h}, [sp], #0x10 4C9F871B st2 {v27.8h, v28.8h}, [x24], #0x20 4C9F8EE4 st2 {v4.2d, v5.2d}, [x23], #0x20 // ST2_asisdlsep_R2_r 0x001100100xxxxx1000xxxxxxxxxxxx 4C988280 st2 {v0.16b, v1.16b}, [x20], x24 4C918E20 st2 {v0.2d, v1.2d}, [x17], x17 0C948AA7 st2 {v7.2s, v8.2s}, [x21], x20 4C8B8073 st2 {v19.16b, v20.16b}, [x3], x11 4C9E87A9 st2 {v9.8h, v10.8h}, [x29], x30 0C8089DA st2 {v26.2s, v27.2s}, [x14], x0 0C93884D st2 {v13.2s, v14.2s}, [x2], x19 4C888F48 st2 {v8.2d, v9.2d}, [x26], x8 0C878AB8 st2 {v24.2s, v25.2s}, [x21], x7 0C8A8A2C st2 {v12.2s, v13.2s}, [x17], x10 4C8E83B0 st2 {v16.16b, v17.16b}, [x29], x14 0C8A8041 st2 {v1.8b, v2.8b}, [x2], x10 4C9781E9 st2 {v9.16b, v10.16b}, [x15], x23 4C8F8F44 st2 {v4.2d, v5.2d}, [x26], x15 0C81881C st2 {v28.2s, v29.2s}, [x0], x1 0C918B1E st2 {v30.2s, v31.2s}, [x24], x17 // ST2_asisdlso_B2_2b 0x00110100100000000xxxxxxxxxxxxx 0D201FB0 st2 {v16.b, v17.b}[7], [x29] 4D200074 st2 {v20.b, v21.b}[8], [x3] 4D2016A2 st2 {v2.b, v3.b}[13], [x21] 0D201D3D st2 {v29.b, v30.b}[7], [x9] 4D201E0A st2 {v10.b, v11.b}[15], [x16] 0D2006F6 st2 {v22.b, v23.b}[1], [x23] 4D201016 st2 {v22.b, v23.b}[12], [x0] 4D200681 st2 {v1.b, v2.b}[9], [x20] 4D201CF4 st2 {v20.b, v21.b}[15], [x7] 4D2016B8 st2 {v24.b, v25.b}[13], [x21] 0D20147B st2 {v27.b, v28.b}[5], [x3] 0D201829 st2 {v9.b, v10.b}[6], [x1] 0D201F70 st2 {v16.b, v17.b}[7], [x27] 0D201A3E st2 {v30.b, v31.b}[6], [x17] 0D2011D8 st2 {v24.b, v25.b}[4], [x14] 4D200D0A st2 {v10.b, v11.b}[11], [x8] // ST2_asisdlso_D2_2d 0x00110100100000100001xxxxxxxxxx 4D2085BE st2 {v30.d, v31.d}[1], [x13] 4D2086DA st2 {v26.d, v27.d}[1], [x22] 0D208706 st2 {v6.d, v7.d}[0], [x24] 4D208784 st2 {v4.d, v5.d}[1], [x28] 4D208581 st2 {v1.d, v2.d}[1], [x12] 0D208540 st2 {v0.d, v1.d}[0], [x10] 4D20867C st2 {v28.d, v29.d}[1], [x19] 0D208698 st2 {v24.d, v25.d}[0], [x20] 0D208655 st2 {v21.d, v22.d}[0], [x18] 0D208627 st2 {v7.d, v8.d}[0], [x17] 4D20856D st2 {v13.d, v14.d}[1], [x11] 4D2085F1 st2 {v17.d, v18.d}[1], [x15] 0D20845F st2 {v31.d, v0.d}[0], [x2] 0D2084CE st2 {v14.d, v15.d}[0], [x6] 4D208497 st2 {v23.d, v24.d}[1], [x4] 4D2084B1 st2 {v17.d, v18.d}[1], [x5] // ST2_asisdlso_H2_2h 0x00110100100000010xx0xxxxxxxxxx 4D2042E0 st2 {v0.h, v1.h}[4], [x23] 0D204BD6 st2 {v22.h, v23.h}[1], [x30] 4D204B8C st2 {v12.h, v13.h}[5], [x28] 4D20438B st2 {v11.h, v12.h}[4], [x28] 4D2052E8 st2 {v8.h, v9.h}[6], [x23] 0D2052B2 st2 {v18.h, v19.h}[2], [x21] 4D205884 st2 {v4.h, v5.h}[7], [x4] 4D204A04 st2 {v4.h, v5.h}[5], [x16] 4D2049D7 st2 {v23.h, v24.h}[5], [x14] 0D20410A st2 {v10.h, v11.h}[0], [x8] 0D20524A st2 {v10.h, v11.h}[2], [x18] 0D204B01 st2 {v1.h, v2.h}[1], [x24] 4D2040F9 st2 {v25.h, v26.h}[4], [x7] 0D205A50 st2 {v16.h, v17.h}[3], [x18] 4D20526C st2 {v12.h, v13.h}[6], [x19] 0D2043F8 st2 {v24.h, v25.h}[0], [sp] // ST2_asisdlso_S2_2s 0x00110100100000100x00xxxxxxxxxx 4D2082A8 st2 {v8.s, v9.s}[2], [x21] 0D2091B5 st2 {v21.s, v22.s}[1], [x13] 0D2080F0 st2 {v16.s, v17.s}[0], [x7] 0D20830A st2 {v10.s, v11.s}[0], [x24] 0D209387 st2 {v7.s, v8.s}[1], [x28] 4D20912E st2 {v14.s, v15.s}[3], [x9] 0D20921D st2 {v29.s, v30.s}[1], [x16] 0D2082FD st2 {v29.s, v30.s}[0], [x23] 0D208364 st2 {v4.s, v5.s}[0], [x27] 4D208280 st2 {v0.s, v1.s}[2], [x20] 4D209251 st2 {v17.s, v18.s}[3], [x18] 0D20928C st2 {v12.s, v13.s}[1], [x20] 0D208175 st2 {v21.s, v22.s}[0], [x11] 0D20814B st2 {v11.s, v12.s}[0], [x10] 0D2090EA st2 {v10.s, v11.s}[1], [x7] 0D20938C st2 {v12.s, v13.s}[1], [x28] // ST2_asisdlsop_B2_i2b 0x00110110111111000xxxxxxxxxxxxx 0DBF109B st2 {v27.b, v28.b}[4], [x4], #0x2 4DBF013D st2 {v29.b, v30.b}[8], [x9], #0x2 4DBF1414 st2 {v20.b, v21.b}[13], [x0], #0x2 0DBF0BEE st2 {v14.b, v15.b}[2], [sp], #0x2 0DBF05F7 st2 {v23.b, v24.b}[1], [x15], #0x2 0DBF0577 st2 {v23.b, v24.b}[1], [x11], #0x2 0DBF1F16 st2 {v22.b, v23.b}[7], [x24], #0x2 4DBF07D5 st2 {v21.b, v22.b}[9], [x30], #0x2 0DBF015C st2 {v28.b, v29.b}[0], [x10], #0x2 4DBF042F st2 {v15.b, v16.b}[9], [x1], #0x2 4DBF0D65 st2 {v5.b, v6.b}[11], [x11], #0x2 4DBF0813 st2 {v19.b, v20.b}[10], [x0], #0x2 0DBF0F2B st2 {v11.b, v12.b}[3], [x25], #0x2 0DBF0BE7 st2 {v7.b, v8.b}[2], [sp], #0x2 0DBF0822 st2 {v2.b, v3.b}[2], [x1], #0x2 4DBF01FB st2 {v27.b, v28.b}[8], [x15], #0x2 // ST2_asisdlsop_BX2_r2b 0x001101101xxxxx000xxxxxxxxxxxxx 4DBA1C66 st2 {v6.b, v7.b}[15], [x3], x26 0DAA0B0D st2 {v13.b, v14.b}[2], [x24], x10 4DBD0885 st2 {v5.b, v6.b}[10], [x4], x29 4DA31FA2 st2 {v2.b, v3.b}[15], [x29], x3 0DA3073C st2 {v28.b, v29.b}[1], [x25], x3 0DA31E50 st2 {v16.b, v17.b}[7], [x18], x3 4DBD1B62 st2 {v2.b, v3.b}[14], [x27], x29 4DBE080D st2 {v13.b, v14.b}[10], [x0], x30 4DB90FF8 st2 {v24.b, v25.b}[11], [sp], x25 0DBA0B33 st2 {v19.b, v20.b}[2], [x25], x26 4DA90314 st2 {v20.b, v21.b}[8], [x24], x9 4DBA05E4 st2 {v4.b, v5.b}[9], [x15], x26 0DBC0CA3 st2 {v3.b, v4.b}[3], [x5], x28 0DB30A59 st2 {v25.b, v26.b}[2], [x18], x19 4DAF1A6B st2 {v11.b, v12.b}[14], [x19], x15 4DA8117C st2 {v28.b, v29.b}[12], [x11], x8 // ST2_asisdlsop_D2_i2d 0x00110110111111100001xxxxxxxxxx 4DBF8530 st2 {v16.d, v17.d}[1], [x9], #0x10 4DBF8588 st2 {v8.d, v9.d}[1], [x12], #0x10 0DBF866B st2 {v11.d, v12.d}[0], [x19], #0x10 4DBF8401 st2 {v1.d, v2.d}[1], [x0], #0x10 0DBF851C st2 {v28.d, v29.d}[0], [x8], #0x10 4DBF8541 st2 {v1.d, v2.d}[1], [x10], #0x10 0DBF86A1 st2 {v1.d, v2.d}[0], [x21], #0x10 4DBF872C st2 {v12.d, v13.d}[1], [x25], #0x10 0DBF871B st2 {v27.d, v28.d}[0], [x24], #0x10 4DBF85CF st2 {v15.d, v16.d}[1], [x14], #0x10 0DBF851E st2 {v30.d, v31.d}[0], [x8], #0x10 0DBF86AB st2 {v11.d, v12.d}[0], [x21], #0x10 4DBF874A st2 {v10.d, v11.d}[1], [x26], #0x10 4DBF85FB st2 {v27.d, v28.d}[1], [x15], #0x10 4DBF873A st2 {v26.d, v27.d}[1], [x25], #0x10 4DBF8526 st2 {v6.d, v7.d}[1], [x9], #0x10 // ST2_asisdlsop_DX2_r2d 0x001101101xxxxx100001xxxxxxxxxx 0DBC867D st2 {v29.d, v30.d}[0], [x19], x28 4DB3876A st2 {v10.d, v11.d}[1], [x27], x19 0DA586A1 st2 {v1.d, v2.d}[0], [x21], x5 0DA68568 st2 {v8.d, v9.d}[0], [x11], x6 0DAC87D0 st2 {v16.d, v17.d}[0], [x30], x12 0DBC86D4 st2 {v20.d, v21.d}[0], [x22], x28 0DBB84D1 st2 {v17.d, v18.d}[0], [x6], x27 0DBD84DE st2 {v30.d, v31.d}[0], [x6], x29 0DA5843A st2 {v26.d, v27.d}[0], [x1], x5 4DBE840B st2 {v11.d, v12.d}[1], [x0], x30 4DB187D9 st2 {v25.d, v26.d}[1], [x30], x17 4DA187E1 st2 {v1.d, v2.d}[1], [sp], x1 0DB7868F st2 {v15.d, v16.d}[0], [x20], x23 4DA28672 st2 {v18.d, v19.d}[1], [x19], x2 4DB486F5 st2 {v21.d, v22.d}[1], [x23], x20 4DA68799 st2 {v25.d, v26.d}[1], [x28], x6 // ST2_asisdlsop_H2_i2h 0x00110110111111010xx0xxxxxxxxxx 0DBF532E st2 {v14.h, v15.h}[2], [x25], #0x4 0DBF53F9 st2 {v25.h, v26.h}[2], [sp], #0x4 0DBF4B78 st2 {v24.h, v25.h}[1], [x27], #0x4 4DBF4A34 st2 {v20.h, v21.h}[5], [x17], #0x4 4DBF4AB2 st2 {v18.h, v19.h}[5], [x21], #0x4 0DBF40DF st2 {v31.h, v0.h}[0], [x6], #0x4 4DBF5A27 st2 {v7.h, v8.h}[7], [x17], #0x4 0DBF400B st2 {v11.h, v12.h}[0], [x0], #0x4 0DBF524F st2 {v15.h, v16.h}[2], [x18], #0x4 0DBF4052 st2 {v18.h, v19.h}[0], [x2], #0x4 4DBF5105 st2 {v5.h, v6.h}[6], [x8], #0x4 4DBF5A94 st2 {v20.h, v21.h}[7], [x20], #0x4 0DBF4BE9 st2 {v9.h, v10.h}[1], [sp], #0x4 4DBF40A8 st2 {v8.h, v9.h}[4], [x5], #0x4 4DBF421E st2 {v30.h, v31.h}[4], [x16], #0x4 0DBF51EB st2 {v11.h, v12.h}[2], [x15], #0x4 // ST2_asisdlsop_HX2_r2h 0x001101101xxxxx010xx0xxxxxxxxxx 4DB05BFD st2 {v29.h, v30.h}[7], [sp], x16 0DB54860 st2 {v0.h, v1.h}[1], [x3], x21 0DBD507E st2 {v30.h, v31.h}[2], [x3], x29 4DAA5BD4 st2 {v20.h, v21.h}[7], [x30], x10 4DA041E0 st2 {v0.h, v1.h}[4], [x15], x0 0DBC4BAC st2 {v12.h, v13.h}[1], [x29], x28 0DB14923 st2 {v3.h, v4.h}[1], [x9], x17 4DBD49D6 st2 {v22.h, v23.h}[5], [x14], x29 0DAF5A25 st2 {v5.h, v6.h}[3], [x17], x15 4DB1411E st2 {v30.h, v31.h}[4], [x8], x17 4DB35B6C st2 {v12.h, v13.h}[7], [x27], x19 4DBB5302 st2 {v2.h, v3.h}[6], [x24], x27 4DAD53B5 st2 {v21.h, v22.h}[6], [x29], x13 0DB45BB3 st2 {v19.h, v20.h}[3], [x29], x20 0DB5520B st2 {v11.h, v12.h}[2], [x16], x21 4DA952F1 st2 {v17.h, v18.h}[6], [x23], x9 // ST2_asisdlsop_S2_i2s 0x00110110111111100x00xxxxxxxxxx 4DBF8133 st2 {v19.s, v20.s}[2], [x9], #0x8 4DBF83E8 st2 {v8.s, v9.s}[2], [sp], #0x8 4DBF8264 st2 {v4.s, v5.s}[2], [x19], #0x8 0DBF9043 st2 {v3.s, v4.s}[1], [x2], #0x8 0DBF823D st2 {v29.s, v30.s}[0], [x17], #0x8 0DBF83A1 st2 {v1.s, v2.s}[0], [x29], #0x8 0DBF9325 st2 {v5.s, v6.s}[1], [x25], #0x8 4DBF9377 st2 {v23.s, v24.s}[3], [x27], #0x8 4DBF825D st2 {v29.s, v30.s}[2], [x18], #0x8 4DBF9169 st2 {v9.s, v10.s}[3], [x11], #0x8 4DBF9092 st2 {v18.s, v19.s}[3], [x4], #0x8 4DBF92E9 st2 {v9.s, v10.s}[3], [x23], #0x8 0DBF8134 st2 {v20.s, v21.s}[0], [x9], #0x8 0DBF80C7 st2 {v7.s, v8.s}[0], [x6], #0x8 4DBF8107 st2 {v7.s, v8.s}[2], [x8], #0x8 0DBF830E st2 {v14.s, v15.s}[0], [x24], #0x8 // ST2_asisdlsop_SX2_r2s 0x001101101xxxxx100x00xxxxxxxxxx 4DB59393 st2 {v19.s, v20.s}[3], [x28], x21 4DB981F3 st2 {v19.s, v20.s}[2], [x15], x25 0DBA93A6 st2 {v6.s, v7.s}[1], [x29], x26 0DA492E3 st2 {v3.s, v4.s}[1], [x23], x4 4DA88309 st2 {v9.s, v10.s}[2], [x24], x8 0DBD90E8 st2 {v8.s, v9.s}[1], [x7], x29 4DB79291 st2 {v17.s, v18.s}[3], [x20], x23 0DA881D0 st2 {v16.s, v17.s}[0], [x14], x8 4DAF82B7 st2 {v23.s, v24.s}[2], [x21], x15 0DB29052 st2 {v18.s, v19.s}[1], [x2], x18 4DBD822A st2 {v10.s, v11.s}[2], [x17], x29 4DB981E7 st2 {v7.s, v8.s}[2], [x15], x25 0DBB8180 st2 {v0.s, v1.s}[0], [x12], x27 4DAF9292 st2 {v18.s, v19.s}[3], [x20], x15 0DA282C1 st2 {v1.s, v2.s}[0], [x22], x2 4DAD81BF st2 {v31.s, v0.s}[2], [x13], x13 // ST3_asisdlse_R3 0x001100000000000100xxxxxxxxxxxx 0C004922 st3 {v2.2s, v3.2s, v4.2s}, [x9] 0C00489E st3 {v30.2s, v31.2s, v0.2s}, [x4] 4C004903 st3 {v3.4s, v4.4s, v5.4s}, [x8] 4C004605 st3 {v5.8h, v6.8h, v7.8h}, [x16] 0C0041D1 st3 {v17.8b, v18.8b, v19.8b}, [x14] 0C004BAC st3 {v12.2s, v13.2s, v14.2s}, [x29] 4C004FC1 st3 {v1.2d, v2.2d, v3.2d}, [x30] 4C0045BD st3 {v29.8h, v30.8h, v31.8h}, [x13] 0C00493C st3 {v28.2s, v29.2s, v30.2s}, [x9] 4C004216 st3 {v22.16b, v23.16b, v24.16b}, [x16] 0C0047FF st3 {v31.4h, v0.4h, v1.4h}, [sp] 4C00401B st3 {v27.16b, v28.16b, v29.16b}, [x0] 4C0048D2 st3 {v18.4s, v19.4s, v20.4s}, [x6] 4C00464C st3 {v12.8h, v13.8h, v14.8h}, [x18] 4C004F54 st3 {v20.2d, v21.2d, v22.2d}, [x26] 0C004402 st3 {v2.4h, v3.4h, v4.4h}, [x0] // ST3_asisdlsep_I3_i 0x001100100111110100xxxxxxxxxxxx 4C9F4838 st3 {v24.4s, v25.4s, v26.4s}, [x1], #0x30 0C9F47B9 st3 {v25.4h, v26.4h, v27.4h}, [x29], #0x18 0C9F48BE st3 {v30.2s, v31.2s, v0.2s}, [x5], #0x18 4C9F4A77 st3 {v23.4s, v24.4s, v25.4s}, [x19], #0x30 4C9F4D54 st3 {v20.2d, v21.2d, v22.2d}, [x10], #0x30 0C9F4B97 st3 {v23.2s, v24.2s, v25.2s}, [x28], #0x18 4C9F420D st3 {v13.16b, v14.16b, v15.16b}, [x16], #0x30 4C9F4DFC st3 {v28.2d, v29.2d, v30.2d}, [x15], #0x30 0C9F454C st3 {v12.4h, v13.4h, v14.4h}, [x10], #0x18 4C9F42A3 st3 {v3.16b, v4.16b, v5.16b}, [x21], #0x30 0C9F4348 st3 {v8.8b, v9.8b, v10.8b}, [x26], #0x18 0C9F4A85 st3 {v5.2s, v6.2s, v7.2s}, [x20], #0x18 4C9F4CA3 st3 {v3.2d, v4.2d, v5.2d}, [x5], #0x30 0C9F42BD st3 {v29.8b, v30.8b, v31.8b}, [x21], #0x18 4C9F44D6 st3 {v22.8h, v23.8h, v24.8h}, [x6], #0x30 4C9F431A st3 {v26.16b, v27.16b, v28.16b}, [x24], #0x30 // ST3_asisdlsep_R3_r 0x001100100xxxxx0100xxxxxxxxxxxx 4C994377 st3 {v23.16b, v24.16b, v25.16b}, [x27], x25 4C9E4A4E st3 {v14.4s, v15.4s, v16.4s}, [x18], x30 0C8845FF st3 {v31.4h, v0.4h, v1.4h}, [x15], x8 4C914B51 st3 {v17.4s, v18.4s, v19.4s}, [x26], x17 0C87427B st3 {v27.8b, v28.8b, v29.8b}, [x19], x7 4C86424B st3 {v11.16b, v12.16b, v13.16b}, [x18], x6 4C90491C st3 {v28.4s, v29.4s, v30.4s}, [x8], x16 4C8E4668 st3 {v8.8h, v9.8h, v10.8h}, [x19], x14 4C964B84 st3 {v4.4s, v5.4s, v6.4s}, [x28], x22 4C914B78 st3 {v24.4s, v25.4s, v26.4s}, [x27], x17 0C8749D2 st3 {v18.2s, v19.2s, v20.2s}, [x14], x7 0C8A499F st3 {v31.2s, v0.2s, v1.2s}, [x12], x10 0C9342D0 st3 {v16.8b, v17.8b, v18.8b}, [x22], x19 0C9C450C st3 {v12.4h, v13.4h, v14.4h}, [x8], x28 4C93441B st3 {v27.8h, v28.8h, v29.8h}, [x0], x19 0C9747D9 st3 {v25.4h, v26.4h, v27.4h}, [x30], x23 // ST3_asisdlso_B3_3b 0x00110100000000001xxxxxxxxxxxxx 4D0023EE st3 {v14.b, v15.b, v16.b}[8], [sp] 0D0027EA st3 {v10.b, v11.b, v12.b}[1], [sp] 4D0039E6 st3 {v6.b, v7.b, v8.b}[14], [x15] 0D003D45 st3 {v5.b, v6.b, v7.b}[7], [x10] 4D002548 st3 {v8.b, v9.b, v10.b}[9], [x10] 0D002EB9 st3 {v25.b, v26.b, v27.b}[3], [x21] 0D002543 st3 {v3.b, v4.b, v5.b}[1], [x10] 4D003355 st3 {v21.b, v22.b, v23.b}[12], [x26] 4D003245 st3 {v5.b, v6.b, v7.b}[12], [x18] 4D002D42 st3 {v2.b, v3.b, v4.b}[11], [x10] 4D00206C st3 {v12.b, v13.b, v14.b}[8], [x3] 4D002F1B st3 {v27.b, v28.b, v29.b}[11], [x24] 4D003CEC st3 {v12.b, v13.b, v14.b}[15], [x7] 0D002506 st3 {v6.b, v7.b, v8.b}[1], [x8] 0D003F63 st3 {v3.b, v4.b, v5.b}[7], [x27] 0D003F54 st3 {v20.b, v21.b, v22.b}[7], [x26] // ST3_asisdlso_D3_3d 0x00110100000000101001xxxxxxxxxx 0D00A4E8 st3 {v8.d, v9.d, v10.d}[0], [x7] 0D00A458 st3 {v24.d, v25.d, v26.d}[0], [x2] 0D00A57D st3 {v29.d, v30.d, v31.d}[0], [x11] 4D00A4D3 st3 {v19.d, v20.d, v21.d}[1], [x6] 0D00A673 st3 {v19.d, v20.d, v21.d}[0], [x19] 4D00A7C9 st3 {v9.d, v10.d, v11.d}[1], [x30] 0D00A766 st3 {v6.d, v7.d, v8.d}[0], [x27] 0D00A7EA st3 {v10.d, v11.d, v12.d}[0], [sp] 0D00A555 st3 {v21.d, v22.d, v23.d}[0], [x10] 0D00A7B2 st3 {v18.d, v19.d, v20.d}[0], [x29] 0D00A78B st3 {v11.d, v12.d, v13.d}[0], [x28] 4D00A727 st3 {v7.d, v8.d, v9.d}[1], [x25] 4D00A647 st3 {v7.d, v8.d, v9.d}[1], [x18] 4D00A6FD st3 {v29.d, v30.d, v31.d}[1], [x23] 0D00A493 st3 {v19.d, v20.d, v21.d}[0], [x4] 0D00A4FE st3 {v30.d, v31.d, v0.d}[0], [x7] // ST3_asisdlso_H3_3h 0x00110100000000011xx0xxxxxxxxxx 4D006333 st3 {v19.h, v20.h, v21.h}[4], [x25] 4D007853 st3 {v19.h, v20.h, v21.h}[7], [x2] 0D007B9C st3 {v28.h, v29.h, v30.h}[3], [x28] 4D00786A st3 {v10.h, v11.h, v12.h}[7], [x3] 4D007BFE st3 {v30.h, v31.h, v0.h}[7], [sp] 4D007B12 st3 {v18.h, v19.h, v20.h}[7], [x24] 4D007910 st3 {v16.h, v17.h, v18.h}[7], [x8] 0D006816 st3 {v22.h, v23.h, v24.h}[1], [x0] 0D0073E7 st3 {v7.h, v8.h, v9.h}[2], [sp] 0D0071B6 st3 {v22.h, v23.h, v24.h}[2], [x13] 0D00737E st3 {v30.h, v31.h, v0.h}[2], [x27] 0D00691A st3 {v26.h, v27.h, v28.h}[1], [x8] 0D00612B st3 {v11.h, v12.h, v13.h}[0], [x9] 0D00706C st3 {v12.h, v13.h, v14.h}[2], [x3] 0D007AD2 st3 {v18.h, v19.h, v20.h}[3], [x22] 4D007941 st3 {v1.h, v2.h, v3.h}[7], [x10] // ST3_asisdlso_S3_3s 0x00110100000000101x00xxxxxxxxxx 4D00A048 st3 {v8.s, v9.s, v10.s}[2], [x2] 4D00B155 st3 {v21.s, v22.s, v23.s}[3], [x10] 4D00A25B st3 {v27.s, v28.s, v29.s}[2], [x18] 0D00A071 st3 {v17.s, v18.s, v19.s}[0], [x3] 0D00A3E4 st3 {v4.s, v5.s, v6.s}[0], [sp] 4D00A08B st3 {v11.s, v12.s, v13.s}[2], [x4] 0D00B048 st3 {v8.s, v9.s, v10.s}[1], [x2] 4D00A0F7 st3 {v23.s, v24.s, v25.s}[2], [x7] 4D00A160 st3 {v0.s, v1.s, v2.s}[2], [x11] 0D00A3DD st3 {v29.s, v30.s, v31.s}[0], [x30] 0D00A3E0 st3 {v0.s, v1.s, v2.s}[0], [sp] 4D00A02A st3 {v10.s, v11.s, v12.s}[2], [x1] 4D00A3A1 st3 {v1.s, v2.s, v3.s}[2], [x29] 4D00B35F st3 {v31.s, v0.s, v1.s}[3], [x26] 4D00B1D2 st3 {v18.s, v19.s, v20.s}[3], [x14] 4D00A278 st3 {v24.s, v25.s, v26.s}[2], [x19] // ST3_asisdlsop_B3_i3b 0x00110110011111001xxxxxxxxxxxxx 0D9F340C st3 {v12.b, v13.b, v14.b}[5], [x0], #0x3 0D9F2C93 st3 {v19.b, v20.b, v21.b}[3], [x4], #0x3 4D9F394B st3 {v11.b, v12.b, v13.b}[14], [x10], #0x3 4D9F384A st3 {v10.b, v11.b, v12.b}[14], [x2], #0x3 0D9F3662 st3 {v2.b, v3.b, v4.b}[5], [x19], #0x3 0D9F293B st3 {v27.b, v28.b, v29.b}[2], [x9], #0x3 0D9F33A8 st3 {v8.b, v9.b, v10.b}[4], [x29], #0x3 0D9F2EBC st3 {v28.b, v29.b, v30.b}[3], [x21], #0x3 4D9F3156 st3 {v22.b, v23.b, v24.b}[12], [x10], #0x3 4D9F24A3 st3 {v3.b, v4.b, v5.b}[9], [x5], #0x3 4D9F2F72 st3 {v18.b, v19.b, v20.b}[11], [x27], #0x3 4D9F211D st3 {v29.b, v30.b, v31.b}[8], [x8], #0x3 4D9F281D st3 {v29.b, v30.b, v31.b}[10], [x0], #0x3 0D9F34E6 st3 {v6.b, v7.b, v8.b}[5], [x7], #0x3 4D9F27D2 st3 {v18.b, v19.b, v20.b}[9], [x30], #0x3 4D9F36FF st3 {v31.b, v0.b, v1.b}[13], [x23], #0x3 // ST3_asisdlsop_BX3_r3b 0x001101100xxxxx001xxxxxxxxxxxxx 0D9D3853 st3 {v19.b, v20.b, v21.b}[6], [x2], x29 4D9E3882 st3 {v2.b, v3.b, v4.b}[14], [x4], x30 0D8E3370 st3 {v16.b, v17.b, v18.b}[4], [x27], x14 4D823D57 st3 {v23.b, v24.b, v25.b}[15], [x10], x2 4D882694 st3 {v20.b, v21.b, v22.b}[9], [x20], x8 4D8D2628 st3 {v8.b, v9.b, v10.b}[9], [x17], x13 4D8425AC st3 {v12.b, v13.b, v14.b}[9], [x13], x4 4D812926 st3 {v6.b, v7.b, v8.b}[10], [x9], x1 0D9D22D0 st3 {v16.b, v17.b, v18.b}[0], [x22], x29 4D8B3DC8 st3 {v8.b, v9.b, v10.b}[15], [x14], x11 0D84341D st3 {v29.b, v30.b, v31.b}[5], [x0], x4 0D9B3719 st3 {v25.b, v26.b, v27.b}[5], [x24], x27 0D873E31 st3 {v17.b, v18.b, v19.b}[7], [x17], x7 0D84272C st3 {v12.b, v13.b, v14.b}[1], [x25], x4 0D8B320F st3 {v15.b, v16.b, v17.b}[4], [x16], x11 0D9B36AC st3 {v12.b, v13.b, v14.b}[5], [x21], x27 // ST3_asisdlsop_D3_i3d 0x00110110011111101001xxxxxxxxxx 0D9FA539 st3 {v25.d, v26.d, v27.d}[0], [x9], #0x18 0D9FA7D7 st3 {v23.d, v24.d, v25.d}[0], [x30], #0x18 4D9FA6FD st3 {v29.d, v30.d, v31.d}[1], [x23], #0x18 4D9FA45B st3 {v27.d, v28.d, v29.d}[1], [x2], #0x18 0D9FA66A st3 {v10.d, v11.d, v12.d}[0], [x19], #0x18 0D9FA697 st3 {v23.d, v24.d, v25.d}[0], [x20], #0x18 0D9FA798 st3 {v24.d, v25.d, v26.d}[0], [x28], #0x18 4D9FA646 st3 {v6.d, v7.d, v8.d}[1], [x18], #0x18 0D9FA714 st3 {v20.d, v21.d, v22.d}[0], [x24], #0x18 0D9FA7C6 st3 {v6.d, v7.d, v8.d}[0], [x30], #0x18 0D9FA5B4 st3 {v20.d, v21.d, v22.d}[0], [x13], #0x18 0D9FA4C3 st3 {v3.d, v4.d, v5.d}[0], [x6], #0x18 0D9FA599 st3 {v25.d, v26.d, v27.d}[0], [x12], #0x18 0D9FA587 st3 {v7.d, v8.d, v9.d}[0], [x12], #0x18 4D9FA586 st3 {v6.d, v7.d, v8.d}[1], [x12], #0x18 4D9FA621 st3 {v1.d, v2.d, v3.d}[1], [x17], #0x18 // ST3_asisdlsop_DX3_r3d 0x001101100xxxxx101001xxxxxxxxxx 0D85A70F st3 {v15.d, v16.d, v17.d}[0], [x24], x5 4D8BA67F st3 {v31.d, v0.d, v1.d}[1], [x19], x11 4D88A762 st3 {v2.d, v3.d, v4.d}[1], [x27], x8 0D99A529 st3 {v9.d, v10.d, v11.d}[0], [x9], x25 0D8CA68B st3 {v11.d, v12.d, v13.d}[0], [x20], x12 0D9CA636 st3 {v22.d, v23.d, v24.d}[0], [x17], x28 0D8DA7A0 st3 {v0.d, v1.d, v2.d}[0], [x29], x13 4D97A44D st3 {v13.d, v14.d, v15.d}[1], [x2], x23 4D89A792 st3 {v18.d, v19.d, v20.d}[1], [x28], x9 4D8BA50F st3 {v15.d, v16.d, v17.d}[1], [x8], x11 4D90A677 st3 {v23.d, v24.d, v25.d}[1], [x19], x16 0D95A5C8 st3 {v8.d, v9.d, v10.d}[0], [x14], x21 4D85A5F6 st3 {v22.d, v23.d, v24.d}[1], [x15], x5 0D8CA74B st3 {v11.d, v12.d, v13.d}[0], [x26], x12 0D93A50A st3 {v10.d, v11.d, v12.d}[0], [x8], x19 0D8DA553 st3 {v19.d, v20.d, v21.d}[0], [x10], x13 // ST3_asisdlsop_H3_i3h 0x00110110011111011xx0xxxxxxxxxx 4D9F7B8D st3 {v13.h, v14.h, v15.h}[7], [x28], #0x6 4D9F7A6B st3 {v11.h, v12.h, v13.h}[7], [x19], #0x6 0D9F61EF st3 {v15.h, v16.h, v17.h}[0], [x15], #0x6 4D9F6B69 st3 {v9.h, v10.h, v11.h}[5], [x27], #0x6 4D9F7188 st3 {v8.h, v9.h, v10.h}[6], [x12], #0x6 4D9F7A1E st3 {v30.h, v31.h, v0.h}[7], [x16], #0x6 0D9F6A9F st3 {v31.h, v0.h, v1.h}[1], [x20], #0x6 0D9F7ADB st3 {v27.h, v28.h, v29.h}[3], [x22], #0x6 4D9F6B44 st3 {v4.h, v5.h, v6.h}[5], [x26], #0x6 4D9F6808 st3 {v8.h, v9.h, v10.h}[5], [x0], #0x6 0D9F688C st3 {v12.h, v13.h, v14.h}[1], [x4], #0x6 0D9F71DF st3 {v31.h, v0.h, v1.h}[2], [x14], #0x6 4D9F6BC3 st3 {v3.h, v4.h, v5.h}[5], [x30], #0x6 0D9F6A8A st3 {v10.h, v11.h, v12.h}[1], [x20], #0x6 4D9F60B1 st3 {v17.h, v18.h, v19.h}[4], [x5], #0x6 4D9F6065 st3 {v5.h, v6.h, v7.h}[4], [x3], #0x6 // ST3_asisdlsop_HX3_r3h 0x001101100xxxxx011xx0xxxxxxxxxx 4D9C68CF st3 {v15.h, v16.h, v17.h}[5], [x6], x28 4D837904 st3 {v4.h, v5.h, v6.h}[7], [x8], x3 0D9969CF st3 {v15.h, v16.h, v17.h}[1], [x14], x25 4D956B34 st3 {v20.h, v21.h, v22.h}[5], [x25], x21 0D9A7992 st3 {v18.h, v19.h, v20.h}[3], [x12], x26 0D97637D st3 {v29.h, v30.h, v31.h}[0], [x27], x23 4D8161C5 st3 {v5.h, v6.h, v7.h}[4], [x14], x1 0D8563BA st3 {v26.h, v27.h, v28.h}[0], [x29], x5 4D97689E st3 {v30.h, v31.h, v0.h}[5], [x4], x23 0D91728D st3 {v13.h, v14.h, v15.h}[2], [x20], x17 0D8E725A st3 {v26.h, v27.h, v28.h}[2], [x18], x14 0D8A62A0 st3 {v0.h, v1.h, v2.h}[0], [x21], x10 0D8972B5 st3 {v21.h, v22.h, v23.h}[2], [x21], x9 0D8978D8 st3 {v24.h, v25.h, v26.h}[3], [x6], x9 0D8563DB st3 {v27.h, v28.h, v29.h}[0], [x30], x5 4D8362DD st3 {v29.h, v30.h, v31.h}[4], [x22], x3 // ST3_asisdlsop_S3_i3s 0x00110110011111101x00xxxxxxxxxx 0D9FA3A6 st3 {v6.s, v7.s, v8.s}[0], [x29], #0xc 0D9FA21B st3 {v27.s, v28.s, v29.s}[0], [x16], #0xc 4D9FA19B st3 {v27.s, v28.s, v29.s}[2], [x12], #0xc 0D9FA30B st3 {v11.s, v12.s, v13.s}[0], [x24], #0xc 4D9FB355 st3 {v21.s, v22.s, v23.s}[3], [x26], #0xc 4D9FB011 st3 {v17.s, v18.s, v19.s}[3], [x0], #0xc 4D9FA3F3 st3 {v19.s, v20.s, v21.s}[2], [sp], #0xc 0D9FA29D st3 {v29.s, v30.s, v31.s}[0], [x20], #0xc 4D9FA141 st3 {v1.s, v2.s, v3.s}[2], [x10], #0xc 4D9FA2D2 st3 {v18.s, v19.s, v20.s}[2], [x22], #0xc 0D9FB261 st3 {v1.s, v2.s, v3.s}[1], [x19], #0xc 4D9FA316 st3 {v22.s, v23.s, v24.s}[2], [x24], #0xc 0D9FA007 st3 {v7.s, v8.s, v9.s}[0], [x0], #0xc 0D9FB140 st3 {v0.s, v1.s, v2.s}[1], [x10], #0xc 0D9FB0C2 st3 {v2.s, v3.s, v4.s}[1], [x6], #0xc 4D9FB350 st3 {v16.s, v17.s, v18.s}[3], [x26], #0xc // ST3_asisdlsop_SX3_r3s 0x001101100xxxxx101x00xxxxxxxxxx 4D83A11A st3 {v26.s, v27.s, v28.s}[2], [x8], x3 0D8DB36E st3 {v14.s, v15.s, v16.s}[1], [x27], x13 0D8BA3A4 st3 {v4.s, v5.s, v6.s}[0], [x29], x11 4D86B130 st3 {v16.s, v17.s, v18.s}[3], [x9], x6 4D9CB1C1 st3 {v1.s, v2.s, v3.s}[3], [x14], x28 0D99A00D st3 {v13.s, v14.s, v15.s}[0], [x0], x25 0D92B15C st3 {v28.s, v29.s, v30.s}[1], [x10], x18 4D95A117 st3 {v23.s, v24.s, v25.s}[2], [x8], x21 4D85B357 st3 {v23.s, v24.s, v25.s}[3], [x26], x5 4D8BB133 st3 {v19.s, v20.s, v21.s}[3], [x9], x11 0D94B351 st3 {v17.s, v18.s, v19.s}[1], [x26], x20 0D8BA0F3 st3 {v19.s, v20.s, v21.s}[0], [x7], x11 0D82B094 st3 {v20.s, v21.s, v22.s}[1], [x4], x2 0D90B0DD st3 {v29.s, v30.s, v31.s}[1], [x6], x16 0D83B264 st3 {v4.s, v5.s, v6.s}[1], [x19], x3 4D81B0BC st3 {v28.s, v29.s, v30.s}[3], [x5], x1 // ST4_asisdlse_R4 0x001100000000000000xxxxxxxxxxxx 4C0004C0 st4 {v0.8h, v1.8h, v2.8h, v3.8h}, [x6] 4C000AAA st4 {v10.4s, v11.4s, v12.4s, v13.4s}, [x21] 4C000857 st4 {v23.4s, v24.4s, v25.4s, v26.4s}, [x2] 4C000B64 st4 {v4.4s, v5.4s, v6.4s, v7.4s}, [x27] 4C000270 st4 {v16.16b, v17.16b, v18.16b, v19.16b}, [x19] 4C00074C st4 {v12.8h, v13.8h, v14.8h, v15.8h}, [x26] 0C00084F st4 {v15.2s, v16.2s, v17.2s, v18.2s}, [x2] 0C0005F5 st4 {v21.4h, v22.4h, v23.4h, v24.4h}, [x15] 4C0003E7 st4 {v7.16b, v8.16b, v9.16b, v10.16b}, [sp] 4C000457 st4 {v23.8h, v24.8h, v25.8h, v26.8h}, [x2] 0C000890 st4 {v16.2s, v17.2s, v18.2s, v19.2s}, [x4] 0C000032 st4 {v18.8b, v19.8b, v20.8b, v21.8b}, [x1] 0C000056 st4 {v22.8b, v23.8b, v24.8b, v25.8b}, [x2] 4C000D96 st4 {v22.2d, v23.2d, v24.2d, v25.2d}, [x12] 4C0004A5 st4 {v5.8h, v6.8h, v7.8h, v8.8h}, [x5] 4C000E29 st4 {v9.2d, v10.2d, v11.2d, v12.2d}, [x17] // ST4_asisdlsep_I4_i 0x001100100111110000xxxxxxxxxxxx 4C9F0A5D st4 {v29.4s, v30.4s, v31.4s, v0.4s}, [x18], #0x40 4C9F0503 st4 {v3.8h, v4.8h, v5.8h, v6.8h}, [x8], #0x40 4C9F0287 st4 {v7.16b, v8.16b, v9.16b, v10.16b}, [x20], #0x40 4C9F085B st4 {v27.4s, v28.4s, v29.4s, v30.4s}, [x2], #0x40 4C9F0DAB st4 {v11.2d, v12.2d, v13.2d, v14.2d}, [x13], #0x40 0C9F0A17 st4 {v23.2s, v24.2s, v25.2s, v26.2s}, [x16], #0x20 4C9F006F st4 {v15.16b, v16.16b, v17.16b, v18.16b}, [x3], #0x40 0C9F0693 st4 {v19.4h, v20.4h, v21.4h, v22.4h}, [x20], #0x20 4C9F02B3 st4 {v19.16b, v20.16b, v21.16b, v22.16b}, [x21], #0x40 0C9F0953 st4 {v19.2s, v20.2s, v21.2s, v22.2s}, [x10], #0x20 4C9F0215 st4 {v21.16b, v22.16b, v23.16b, v24.16b}, [x16], #0x40 4C9F0E51 st4 {v17.2d, v18.2d, v19.2d, v20.2d}, [x18], #0x40 4C9F0987 st4 {v7.4s, v8.4s, v9.4s, v10.4s}, [x12], #0x40 0C9F070F st4 {v15.4h, v16.4h, v17.4h, v18.4h}, [x24], #0x20 0C9F06D1 st4 {v17.4h, v18.4h, v19.4h, v20.4h}, [x22], #0x20 0C9F0991 st4 {v17.2s, v18.2s, v19.2s, v20.2s}, [x12], #0x20 // ST4_asisdlsep_R4_r 0x001100100xxxxx0000xxxxxxxxxxxx 4C9C029A st4 {v26.16b, v27.16b, v28.16b, v29.16b}, [x20], x28 0C9B0273 st4 {v19.8b, v20.8b, v21.8b, v22.8b}, [x19], x27 0C900AA9 st4 {v9.2s, v10.2s, v11.2s, v12.2s}, [x21], x16 0C9107EA st4 {v10.4h, v11.4h, v12.4h, v13.4h}, [sp], x17 4C8B068A st4 {v10.8h, v11.8h, v12.8h, v13.8h}, [x20], x11 4C9B01FA st4 {v26.16b, v27.16b, v28.16b, v29.16b}, [x15], x27 4C8F0252 st4 {v18.16b, v19.16b, v20.16b, v21.16b}, [x18], x15 0C8D0188 st4 {v8.8b, v9.8b, v10.8b, v11.8b}, [x12], x13 4C890E4B st4 {v11.2d, v12.2d, v13.2d, v14.2d}, [x18], x9 4C9A002B st4 {v11.16b, v12.16b, v13.16b, v14.16b}, [x1], x26 0C8D0A89 st4 {v9.2s, v10.2s, v11.2s, v12.2s}, [x20], x13 4C810FA7 st4 {v7.2d, v8.2d, v9.2d, v10.2d}, [x29], x1 4C800684 st4 {v4.8h, v5.8h, v6.8h, v7.8h}, [x20], x0 4C87051C st4 {v28.8h, v29.8h, v30.8h, v31.8h}, [x8], x7 4C800D9E st4 {v30.2d, v31.2d, v0.2d, v1.2d}, [x12], x0 0C870394 st4 {v20.8b, v21.8b, v22.8b, v23.8b}, [x28], x7 // ST4_asisdlso_B4_4b 0x00110100100000001xxxxxxxxxxxxx 4D2039C6 st4 {v6.b, v7.b, v8.b, v9.b}[14], [x14] 0D20288C st4 {v12.b, v13.b, v14.b, v15.b}[2], [x4] 4D2026B9 st4 {v25.b, v26.b, v27.b, v28.b}[9], [x21] 0D202D08 st4 {v8.b, v9.b, v10.b, v11.b}[3], [x8] 4D202AD2 st4 {v18.b, v19.b, v20.b, v21.b}[10], [x22] 4D20350E st4 {v14.b, v15.b, v16.b, v17.b}[13], [x8] 0D202E30 st4 {v16.b, v17.b, v18.b, v19.b}[3], [x17] 0D203AC0 st4 {v0.b, v1.b, v2.b, v3.b}[6], [x22] 4D2038E7 st4 {v7.b, v8.b, v9.b, v10.b}[14], [x7] 4D2039BD st4 {v29.b, v30.b, v31.b, v0.b}[14], [x13] 4D203AFE st4 {v30.b, v31.b, v0.b, v1.b}[14], [x23] 0D203F97 st4 {v23.b, v24.b, v25.b, v26.b}[7], [x28] 4D2034E6 st4 {v6.b, v7.b, v8.b, v9.b}[13], [x7] 4D20250D st4 {v13.b, v14.b, v15.b, v16.b}[9], [x8] 4D2028A7 st4 {v7.b, v8.b, v9.b, v10.b}[10], [x5] 4D203A0F st4 {v15.b, v16.b, v17.b, v18.b}[14], [x16] // ST4_asisdlso_D4_4d 0x00110100100000101001xxxxxxxxxx 4D20A4AF st4 {v15.d, v16.d, v17.d, v18.d}[1], [x5] 4D20A699 st4 {v25.d, v26.d, v27.d, v28.d}[1], [x20] 4D20A7C6 st4 {v6.d, v7.d, v8.d, v9.d}[1], [x30] 0D20A700 st4 {v0.d, v1.d, v2.d, v3.d}[0], [x24] 4D20A48B st4 {v11.d, v12.d, v13.d, v14.d}[1], [x4] 4D20A7CF st4 {v15.d, v16.d, v17.d, v18.d}[1], [x30] 4D20A744 st4 {v4.d, v5.d, v6.d, v7.d}[1], [x26] 0D20A607 st4 {v7.d, v8.d, v9.d, v10.d}[0], [x16] 0D20A66D st4 {v13.d, v14.d, v15.d, v16.d}[0], [x19] 4D20A635 st4 {v21.d, v22.d, v23.d, v24.d}[1], [x17] 0D20A536 st4 {v22.d, v23.d, v24.d, v25.d}[0], [x9] 4D20A5AC st4 {v12.d, v13.d, v14.d, v15.d}[1], [x13] 4D20A6AC st4 {v12.d, v13.d, v14.d, v15.d}[1], [x21] 4D20A500 st4 {v0.d, v1.d, v2.d, v3.d}[1], [x8] 0D20A766 st4 {v6.d, v7.d, v8.d, v9.d}[0], [x27] 0D20A6F8 st4 {v24.d, v25.d, v26.d, v27.d}[0], [x23] // ST4_asisdlso_H4_4h 0x00110100100000011xx0xxxxxxxxxx 0D20733A st4 {v26.h, v27.h, v28.h, v29.h}[2], [x25] 0D206B4A st4 {v10.h, v11.h, v12.h, v13.h}[1], [x26] 4D2062C7 st4 {v7.h, v8.h, v9.h, v10.h}[4], [x22] 0D2069C1 st4 {v1.h, v2.h, v3.h, v4.h}[1], [x14] 0D207108 st4 {v8.h, v9.h, v10.h, v11.h}[2], [x8] 0D207AE7 st4 {v7.h, v8.h, v9.h, v10.h}[3], [x23] 4D20793A st4 {v26.h, v27.h, v28.h, v29.h}[7], [x9] 4D20782B st4 {v11.h, v12.h, v13.h, v14.h}[7], [x1] 0D206827 st4 {v7.h, v8.h, v9.h, v10.h}[1], [x1] 4D2060AC st4 {v12.h, v13.h, v14.h, v15.h}[4], [x5] 4D207289 st4 {v9.h, v10.h, v11.h, v12.h}[6], [x20] 4D207B8C st4 {v12.h, v13.h, v14.h, v15.h}[7], [x28] 4D207301 st4 {v1.h, v2.h, v3.h, v4.h}[6], [x24] 0D206156 st4 {v22.h, v23.h, v24.h, v25.h}[0], [x10] 4D20637A st4 {v26.h, v27.h, v28.h, v29.h}[4], [x27] 4D207228 st4 {v8.h, v9.h, v10.h, v11.h}[6], [x17] // ST4_asisdlso_S4_4s 0x00110100100000101x00xxxxxxxxxx 0D20B274 st4 {v20.s, v21.s, v22.s, v23.s}[1], [x19] 4D20A33C st4 {v28.s, v29.s, v30.s, v31.s}[2], [x25] 4D20B34B st4 {v11.s, v12.s, v13.s, v14.s}[3], [x26] 0D20B0CE st4 {v14.s, v15.s, v16.s, v17.s}[1], [x6] 0D20B382 st4 {v2.s, v3.s, v4.s, v5.s}[1], [x28] 4D20A2C5 st4 {v5.s, v6.s, v7.s, v8.s}[2], [x22] 4D20B149 st4 {v9.s, v10.s, v11.s, v12.s}[3], [x10] 0D20B2C7 st4 {v7.s, v8.s, v9.s, v10.s}[1], [x22] 4D20A385 st4 {v5.s, v6.s, v7.s, v8.s}[2], [x28] 0D20B3B7 st4 {v23.s, v24.s, v25.s, v26.s}[1], [x29] 0D20A103 st4 {v3.s, v4.s, v5.s, v6.s}[0], [x8] 4D20A13F st4 {v31.s, v0.s, v1.s, v2.s}[2], [x9] 4D20A384 st4 {v4.s, v5.s, v6.s, v7.s}[2], [x28] 0D20A363 st4 {v3.s, v4.s, v5.s, v6.s}[0], [x27] 0D20A216 st4 {v22.s, v23.s, v24.s, v25.s}[0], [x16] 4D20A02A st4 {v10.s, v11.s, v12.s, v13.s}[2], [x1] // ST4_asisdlsop_B4_i4b 0x00110110111111001xxxxxxxxxxxxx 4DBF34F1 st4 {v17.b, v18.b, v19.b, v20.b}[13], [x7], #0x4 0DBF3EA6 st4 {v6.b, v7.b, v8.b, v9.b}[7], [x21], #0x4 4DBF3136 st4 {v22.b, v23.b, v24.b, v25.b}[12], [x9], #0x4 4DBF25C1 st4 {v1.b, v2.b, v3.b, v4.b}[9], [x14], #0x4 0DBF28D5 st4 {v21.b, v22.b, v23.b, v24.b}[2], [x6], #0x4 0DBF2EDA st4 {v26.b, v27.b, v28.b, v29.b}[3], [x22], #0x4 0DBF21E3 st4 {v3.b, v4.b, v5.b, v6.b}[0], [x15], #0x4 0DBF3F85 st4 {v5.b, v6.b, v7.b, v8.b}[7], [x28], #0x4 0DBF3F19 st4 {v25.b, v26.b, v27.b, v28.b}[7], [x24], #0x4 0DBF39E7 st4 {v7.b, v8.b, v9.b, v10.b}[6], [x15], #0x4 0DBF3D4C st4 {v12.b, v13.b, v14.b, v15.b}[7], [x10], #0x4 4DBF208C st4 {v12.b, v13.b, v14.b, v15.b}[8], [x4], #0x4 4DBF3F6A st4 {v10.b, v11.b, v12.b, v13.b}[15], [x27], #0x4 0DBF24CF st4 {v15.b, v16.b, v17.b, v18.b}[1], [x6], #0x4 4DBF2EFD st4 {v29.b, v30.b, v31.b, v0.b}[11], [x23], #0x4 4DBF3995 st4 {v21.b, v22.b, v23.b, v24.b}[14], [x12], #0x4 // ST4_asisdlsop_BX4_r4b 0x001101101xxxxx001xxxxxxxxxxxxx 4DBA2D49 st4 {v9.b, v10.b, v11.b, v12.b}[11], [x10], x26 4DB03802 st4 {v2.b, v3.b, v4.b, v5.b}[14], [x0], x16 4DBB2FC9 st4 {v9.b, v10.b, v11.b, v12.b}[11], [x30], x27 4DB73246 st4 {v6.b, v7.b, v8.b, v9.b}[12], [x18], x23 4DB237EF st4 {v15.b, v16.b, v17.b, v18.b}[13], [sp], x18 0DA232E6 st4 {v6.b, v7.b, v8.b, v9.b}[4], [x23], x2 4DB93136 st4 {v22.b, v23.b, v24.b, v25.b}[12], [x9], x25 4DAB28AC st4 {v12.b, v13.b, v14.b, v15.b}[10], [x5], x11 0DB03BB5 st4 {v21.b, v22.b, v23.b, v24.b}[6], [x29], x16 0DB03D0B st4 {v11.b, v12.b, v13.b, v14.b}[7], [x8], x16 4DA43BD7 st4 {v23.b, v24.b, v25.b, v26.b}[14], [x30], x4 4DBE3520 st4 {v0.b, v1.b, v2.b, v3.b}[13], [x9], x30 0DB52462 st4 {v2.b, v3.b, v4.b, v5.b}[1], [x3], x21 4DBD3000 st4 {v0.b, v1.b, v2.b, v3.b}[12], [x0], x29 0DAA238A st4 {v10.b, v11.b, v12.b, v13.b}[0], [x28], x10 0DA93045 st4 {v5.b, v6.b, v7.b, v8.b}[4], [x2], x9 // ST4_asisdlsop_D4_i4d 0x00110110111111101001xxxxxxxxxx 0DBFA699 st4 {v25.d, v26.d, v27.d, v28.d}[0], [x20], #0x20 4DBFA4E1 st4 {v1.d, v2.d, v3.d, v4.d}[1], [x7], #0x20 0DBFA7E2 st4 {v2.d, v3.d, v4.d, v5.d}[0], [sp], #0x20 0DBFA69D st4 {v29.d, v30.d, v31.d, v0.d}[0], [x20], #0x20 4DBFA6DA st4 {v26.d, v27.d, v28.d, v29.d}[1], [x22], #0x20 0DBFA442 st4 {v2.d, v3.d, v4.d, v5.d}[0], [x2], #0x20 4DBFA77E st4 {v30.d, v31.d, v0.d, v1.d}[1], [x27], #0x20 4DBFA40F st4 {v15.d, v16.d, v17.d, v18.d}[1], [x0], #0x20 4DBFA66B st4 {v11.d, v12.d, v13.d, v14.d}[1], [x19], #0x20 0DBFA495 st4 {v21.d, v22.d, v23.d, v24.d}[0], [x4], #0x20 0DBFA6A9 st4 {v9.d, v10.d, v11.d, v12.d}[0], [x21], #0x20 0DBFA44F st4 {v15.d, v16.d, v17.d, v18.d}[0], [x2], #0x20 0DBFA4DC st4 {v28.d, v29.d, v30.d, v31.d}[0], [x6], #0x20 4DBFA533 st4 {v19.d, v20.d, v21.d, v22.d}[1], [x9], #0x20 0DBFA6BB st4 {v27.d, v28.d, v29.d, v30.d}[0], [x21], #0x20 4DBFA7B9 st4 {v25.d, v26.d, v27.d, v28.d}[1], [x29], #0x20 // ST4_asisdlsop_DX4_r4d 0x001101101xxxxx101001xxxxxxxxxx 4DA5A6D3 st4 {v19.d, v20.d, v21.d, v22.d}[1], [x22], x5 0DA4A6FC st4 {v28.d, v29.d, v30.d, v31.d}[0], [x23], x4 0DABA5A4 st4 {v4.d, v5.d, v6.d, v7.d}[0], [x13], x11 4DA7A614 st4 {v20.d, v21.d, v22.d, v23.d}[1], [x16], x7 4DAEA49A st4 {v26.d, v27.d, v28.d, v29.d}[1], [x4], x14 0DA4A798 st4 {v24.d, v25.d, v26.d, v27.d}[0], [x28], x4 4DB0A708 st4 {v8.d, v9.d, v10.d, v11.d}[1], [x24], x16 4DB9A588 st4 {v8.d, v9.d, v10.d, v11.d}[1], [x12], x25 4DB6A67F st4 {v31.d, v0.d, v1.d, v2.d}[1], [x19], x22 0DBCA784 st4 {v4.d, v5.d, v6.d, v7.d}[0], [x28], x28 4DB9A650 st4 {v16.d, v17.d, v18.d, v19.d}[1], [x18], x25 0DA4A54C st4 {v12.d, v13.d, v14.d, v15.d}[0], [x10], x4 4DABA481 st4 {v1.d, v2.d, v3.d, v4.d}[1], [x4], x11 0DB9A566 st4 {v6.d, v7.d, v8.d, v9.d}[0], [x11], x25 4DB8A505 st4 {v5.d, v6.d, v7.d, v8.d}[1], [x8], x24 0DBDA796 st4 {v22.d, v23.d, v24.d, v25.d}[0], [x28], x29 // ST4_asisdlsop_H4_i4h 0x00110110111111011xx0xxxxxxxxxx 0DBF72FD st4 {v29.h, v30.h, v31.h, v0.h}[2], [x23], #0x8 4DBF733E st4 {v30.h, v31.h, v0.h, v1.h}[6], [x25], #0x8 4DBF7BEB st4 {v11.h, v12.h, v13.h, v14.h}[7], [sp], #0x8 0DBF6146 st4 {v6.h, v7.h, v8.h, v9.h}[0], [x10], #0x8 4DBF6872 st4 {v18.h, v19.h, v20.h, v21.h}[5], [x3], #0x8 0DBF7311 st4 {v17.h, v18.h, v19.h, v20.h}[2], [x24], #0x8 0DBF72FA st4 {v26.h, v27.h, v28.h, v29.h}[2], [x23], #0x8 4DBF61E3 st4 {v3.h, v4.h, v5.h, v6.h}[4], [x15], #0x8 4DBF716B st4 {v11.h, v12.h, v13.h, v14.h}[6], [x11], #0x8 0DBF6116 st4 {v22.h, v23.h, v24.h, v25.h}[0], [x8], #0x8 4DBF7266 st4 {v6.h, v7.h, v8.h, v9.h}[6], [x19], #0x8 0DBF7830 st4 {v16.h, v17.h, v18.h, v19.h}[3], [x1], #0x8 0DBF6967 st4 {v7.h, v8.h, v9.h, v10.h}[1], [x11], #0x8 0DBF6BE8 st4 {v8.h, v9.h, v10.h, v11.h}[1], [sp], #0x8 4DBF6279 st4 {v25.h, v26.h, v27.h, v28.h}[4], [x19], #0x8 4DBF7002 st4 {v2.h, v3.h, v4.h, v5.h}[6], [x0], #0x8 // ST4_asisdlsop_HX4_r4h 0x001101101xxxxx011xx0xxxxxxxxxx 4DB87B39 st4 {v25.h, v26.h, v27.h, v28.h}[7], [x25], x24 0DA3618C st4 {v12.h, v13.h, v14.h, v15.h}[0], [x12], x3 0DB578E8 st4 {v8.h, v9.h, v10.h, v11.h}[3], [x7], x21 4DA77A35 st4 {v21.h, v22.h, v23.h, v24.h}[7], [x17], x7 4DA379F4 st4 {v20.h, v21.h, v22.h, v23.h}[7], [x15], x3 0DA5636F st4 {v15.h, v16.h, v17.h, v18.h}[0], [x27], x5 0DB16A39 st4 {v25.h, v26.h, v27.h, v28.h}[1], [x17], x17 4DB661FB st4 {v27.h, v28.h, v29.h, v30.h}[4], [x15], x22 4DB7685A st4 {v26.h, v27.h, v28.h, v29.h}[5], [x2], x23 4DBC613B st4 {v27.h, v28.h, v29.h, v30.h}[4], [x9], x28 4DB07025 st4 {v5.h, v6.h, v7.h, v8.h}[6], [x1], x16 4DB56206 st4 {v6.h, v7.h, v8.h, v9.h}[4], [x16], x21 0DB262C9 st4 {v9.h, v10.h, v11.h, v12.h}[0], [x22], x18 0DA47B91 st4 {v17.h, v18.h, v19.h, v20.h}[3], [x28], x4 0DA97049 st4 {v9.h, v10.h, v11.h, v12.h}[2], [x2], x9 0DA3712B st4 {v11.h, v12.h, v13.h, v14.h}[2], [x9], x3 // ST4_asisdlsop_S4_i4s 0x00110110111111101x00xxxxxxxxxx 0DBFB139 st4 {v25.s, v26.s, v27.s, v28.s}[1], [x9], #0x10 0DBFA23D st4 {v29.s, v30.s, v31.s, v0.s}[0], [x17], #0x10 0DBFB2FB st4 {v27.s, v28.s, v29.s, v30.s}[1], [x23], #0x10 4DBFB3C8 st4 {v8.s, v9.s, v10.s, v11.s}[3], [x30], #0x10 0DBFA1AF st4 {v15.s, v16.s, v17.s, v18.s}[0], [x13], #0x10 4DBFA29F st4 {v31.s, v0.s, v1.s, v2.s}[2], [x20], #0x10 0DBFB11C st4 {v28.s, v29.s, v30.s, v31.s}[1], [x8], #0x10 0DBFA0A8 st4 {v8.s, v9.s, v10.s, v11.s}[0], [x5], #0x10 0DBFA2C5 st4 {v5.s, v6.s, v7.s, v8.s}[0], [x22], #0x10 0DBFB16D st4 {v13.s, v14.s, v15.s, v16.s}[1], [x11], #0x10 0DBFB192 st4 {v18.s, v19.s, v20.s, v21.s}[1], [x12], #0x10 0DBFB14F st4 {v15.s, v16.s, v17.s, v18.s}[1], [x10], #0x10 4DBFA0F3 st4 {v19.s, v20.s, v21.s, v22.s}[2], [x7], #0x10 4DBFA0E9 st4 {v9.s, v10.s, v11.s, v12.s}[2], [x7], #0x10 0DBFB017 st4 {v23.s, v24.s, v25.s, v26.s}[1], [x0], #0x10 4DBFA378 st4 {v24.s, v25.s, v26.s, v27.s}[2], [x27], #0x10 // ST4_asisdlsop_SX4_r4s 0x001101101xxxxx101x00xxxxxxxxxx 0DABA136 st4 {v22.s, v23.s, v24.s, v25.s}[0], [x9], x11 4DB7A3CB st4 {v11.s, v12.s, v13.s, v14.s}[2], [x30], x23 0DACA1A6 st4 {v6.s, v7.s, v8.s, v9.s}[0], [x13], x12 0DABA0F9 st4 {v25.s, v26.s, v27.s, v28.s}[0], [x7], x11 4DA9B10B st4 {v11.s, v12.s, v13.s, v14.s}[3], [x8], x9 4DB9B19F st4 {v31.s, v0.s, v1.s, v2.s}[3], [x12], x25 4DA4A046 st4 {v6.s, v7.s, v8.s, v9.s}[2], [x2], x4 4DA6A3AB st4 {v11.s, v12.s, v13.s, v14.s}[2], [x29], x6 0DAEB147 st4 {v7.s, v8.s, v9.s, v10.s}[1], [x10], x14 4DB9A187 st4 {v7.s, v8.s, v9.s, v10.s}[2], [x12], x25 0DA2B03F st4 {v31.s, v0.s, v1.s, v2.s}[1], [x1], x2 4DA1A0A4 st4 {v4.s, v5.s, v6.s, v7.s}[2], [x5], x1 0DB1B3C4 st4 {v4.s, v5.s, v6.s, v7.s}[1], [x30], x17 4DA4A1DE st4 {v30.s, v31.s, v0.s, v1.s}[2], [x14], x4 4DADA0BB st4 {v27.s, v28.s, v29.s, v30.s}[2], [x5], x13 0DB0B237 st4 {v23.s, v24.s, v25.s, v26.s}[1], [x17], x16 // STADDB_LDADDB_32_memop 00111000001xxxxx000000xxxxx11111 383802FF staddb w24, [x23] 3827005F staddb w7, [x2] 3820001F staddb w0, [x0] 383D027F staddb w29, [x19] 382D021F staddb w13, [x16] 3839025F staddb w25, [x18] 3823021F staddb w3, [x16] 382B017F staddb w11, [x11] 383D02DF staddb w29, [x22] 383501DF staddb w21, [x14] 3827019F staddb w7, [x12] 382A009F staddb w10, [x4] 382601FF staddb w6, [x15] 383C027F staddb w28, [x19] 382B009F staddb w11, [x4] 383B02BF staddb w27, [x21] // STADDH_LDADDH_32_memop 01111000001xxxxx000000xxxxx11111 7824021F staddh w4, [x16] 782E019F staddh w14, [x12] 782C025F staddh w12, [x18] 7822029F staddh w2, [x20] 7825035F staddh w5, [x26] 7823021F staddh w3, [x16] 782103BF staddh w1, [x29] 782703DF staddh w7, [x30] 7825013F staddh w5, [x9] 782200FF staddh w2, [x7] 783702BF staddh w23, [x21] 783F03BF staddh wzr, [x29] 783B02BF staddh w27, [x21] 782C031F staddh w12, [x24] 7836011F staddh w22, [x8] 7835003F staddh w21, [x1] // STADDLB_LDADDLB_32_memop 00111000011xxxxx000000xxxxx11111 386B025F staddlb w11, [x18] 3879039F staddlb w25, [x28] 386E003F staddlb w14, [x1] 387102BF staddlb w17, [x21] 387E00FF staddlb w30, [x7] 3873037F staddlb w19, [x27] 386F00FF staddlb w15, [x7] 3875025F staddlb w21, [x18] 3878025F staddlb w24, [x18] 387903BF staddlb w25, [x29] 387A00BF staddlb w26, [x5] 387A023F staddlb w26, [x17] 3879007F staddlb w25, [x3] 3873025F staddlb w19, [x18] 3877009F staddlb w23, [x4] 3867013F staddlb w7, [x9] // STADDLH_LDADDLH_32_memop 01111000011xxxxx000000xxxxx11111 786C02BF staddlh w12, [x21] 7869009F staddlh w9, [x4] 786103DF staddlh w1, [x30] 7879021F staddlh w25, [x16] 786B013F staddlh w11, [x9] 786A029F staddlh w10, [x20] 786101FF staddlh w1, [x15] 787B005F staddlh w27, [x2] 787402BF staddlh w20, [x21] 7878039F staddlh w24, [x28] 786601FF staddlh w6, [x15] 787D017F staddlh w29, [x11] 787E035F staddlh w30, [x26] 786B003F staddlh w11, [x1] 787D005F staddlh w29, [x2] 786F011F staddlh w15, [x8] // STADDL_LDADDL_32_memop 10111000011xxxxx000000xxxxx11111 B87C011F staddl w28, [x8] B870021F staddl w16, [x16] B86F03DF staddl w15, [x30] B86800BF staddl w8, [x5] B874003F staddl w20, [x1] B87F00DF staddl wzr, [x6] B86B01BF staddl w11, [x13] B870033F staddl w16, [x25] B86B00FF staddl w11, [x7] B86A023F staddl w10, [x17] B87203DF staddl w18, [x30] B871011F staddl w17, [x8] B87A021F staddl w26, [x16] B861019F staddl w1, [x12] B87E02FF staddl w30, [x23] B87E02BF staddl w30, [x21] // STADDL_LDADDL_64_memop 11111000011xxxxx000000xxxxx11111 F878009F staddl x24, [x4] F874019F staddl x20, [x12] F87C011F staddl x28, [x8] F87000FF staddl x16, [x7] F877007F staddl x23, [x3] F873019F staddl x19, [x12] F87D02BF staddl x29, [x21] F86603BF staddl x6, [x29] F87903BF staddl x25, [x29] F868007F staddl x8, [x3] F86D03DF staddl x13, [x30] F87B00FF staddl x27, [x7] F870019F staddl x16, [x12] F861011F staddl x1, [x8] F873033F staddl x19, [x25] F867029F staddl x7, [x20] // STADD_LDADD_32_memop 10111000001xxxxx000000xxxxx11111 B82300DF stadd w3, [x6] B83D019F stadd w29, [x12] B83B00BF stadd w27, [x5] B83F03DF stadd wzr, [x30] B83F03FF stadd wzr, [sp] B83F013F stadd wzr, [x9] B82B02BF stadd w11, [x21] B82401FF stadd w4, [x15] B832015F stadd w18, [x10] B83503BF stadd w21, [x29] B82B003F stadd w11, [x1] B83B009F stadd w27, [x4] B83B02DF stadd w27, [x22] B83000BF stadd w16, [x5] B837015F stadd w23, [x10] B824017F stadd w4, [x11] // STADD_LDADD_64_memop 11111000001xxxxx000000xxxxx11111 F83F021F stadd xzr, [x16] F83301FF stadd x19, [x15] F83F031F stadd xzr, [x24] F828033F stadd x8, [x25] F83A009F stadd x26, [x4] F83C013F stadd x28, [x9] F83C02DF stadd x28, [x22] F83302DF stadd x19, [x22] F83F03FF stadd xzr, [sp] F829027F stadd x9, [x19] F839021F stadd x25, [x16] F83901DF stadd x25, [x14] F831019F stadd x17, [x12] F83902DF stadd x25, [x22] F829007F stadd x9, [x3] F82E011F stadd x14, [x8] // STCLRB_LDCLRB_32_memop 00111000001xxxxx000100xxxxx11111 382210FF stclrb w2, [x7] 382910BF stclrb w9, [x5] 382D12BF stclrb w13, [x21] 383B121F stclrb w27, [x16] 382013FF stclrb w0, [sp] 382D129F stclrb w13, [x20] 382C139F stclrb w12, [x28] 383012BF stclrb w16, [x21] 3825133F stclrb w5, [x25] 3836109F stclrb w22, [x4] 383C13BF stclrb w28, [x29] 3834131F stclrb w20, [x24] 383910DF stclrb w25, [x6] 382311DF stclrb w3, [x14] 382E111F stclrb w14, [x8] 382B10DF stclrb w11, [x6] // STCLRH_LDCLRH_32_memop 01111000001xxxxx000100xxxxx11111 7820111F stclrh w0, [x8] 783D12DF stclrh w29, [x22] 783A10DF stclrh w26, [x6] 783D113F stclrh w29, [x9] 782F113F stclrh w15, [x9] 782C111F stclrh w12, [x8] 782610BF stclrh w6, [x5] 783C113F stclrh w28, [x9] 782F137F stclrh w15, [x27] 782510DF stclrh w5, [x6] 7830129F stclrh w16, [x20] 7829119F stclrh w9, [x12] 782912FF stclrh w9, [x23] 782D13BF stclrh w13, [x29] 783D131F stclrh w29, [x24] 7827121F stclrh w7, [x16] // STCLRLB_LDCLRLB_32_memop 00111000011xxxxx000100xxxxx11111 386C13BF stclrlb w12, [x29] 3879127F stclrlb w25, [x19] 386E10DF stclrlb w14, [x6] 386712FF stclrlb w7, [x23] 386710BF stclrlb w7, [x5] 386F137F stclrlb w15, [x27] 386411FF stclrlb w4, [x15] 3875103F stclrlb w21, [x1] 3862111F stclrlb w2, [x8] 386A13DF stclrlb w10, [x30] 3874111F stclrlb w20, [x8] 386E103F stclrlb w14, [x1] 387412FF stclrlb w20, [x23] 3875117F stclrlb w21, [x11] 387D125F stclrlb w29, [x18] 3862113F stclrlb w2, [x9] // STCLRLH_LDCLRLH_32_memop 01111000011xxxxx000100xxxxx11111 7873135F stclrlh w19, [x26] 7867119F stclrlh w7, [x12] 7861117F stclrlh w1, [x11] 786B10FF stclrlh w11, [x7] 786C103F stclrlh w12, [x1] 7879129F stclrlh w25, [x20] 786C11DF stclrlh w12, [x14] 787412FF stclrlh w20, [x23] 787F12DF stclrlh wzr, [x22] 786511BF stclrlh w5, [x13] 786010BF stclrlh w0, [x5] 786E133F stclrlh w14, [x25] 7873113F stclrlh w19, [x9] 7864109F stclrlh w4, [x4] 787712DF stclrlh w23, [x22] 786312DF stclrlh w3, [x22] // STCLRL_LDCLRL_32_memop 10111000011xxxxx000100xxxxx11111 B87713BF stclrl w23, [x29] B868129F stclrl w8, [x20] B86C125F stclrl w12, [x18] B86B135F stclrl w11, [x26] B86010BF stclrl w0, [x5] B865135F stclrl w5, [x26] B87C111F stclrl w28, [x8] B86F103F stclrl w15, [x1] B86610FF stclrl w6, [x7] B86F109F stclrl w15, [x4] B87811DF stclrl w24, [x14] B866105F stclrl w6, [x2] B87D131F stclrl w29, [x24] B86F137F stclrl w15, [x27] B860125F stclrl w0, [x18] B87310DF stclrl w19, [x6] // STCLRL_LDCLRL_64_memop 11111000011xxxxx000100xxxxx11111 F87C103F stclrl x28, [x1] F876113F stclrl x22, [x9] F87312FF stclrl x19, [x23] F86F121F stclrl x15, [x16] F86E12BF stclrl x14, [x21] F87F10FF stclrl xzr, [x7] F864129F stclrl x4, [x20] F878135F stclrl x24, [x26] F87D109F stclrl x29, [x4] F87C135F stclrl x28, [x26] F862115F stclrl x2, [x10] F86511DF stclrl x5, [x14] F87C117F stclrl x28, [x11] F86B10DF stclrl x11, [x6] F86911FF stclrl x9, [x15] F86F13BF stclrl x15, [x29] // STCLR_LDCLR_32_memop 10111000001xxxxx000100xxxxx11111 B83A12BF stclr w26, [x21] B838127F stclr w24, [x19] B83310DF stclr w19, [x6] B829135F stclr w9, [x26] B82D129F stclr w13, [x20] B82B125F stclr w11, [x18] B83713FF stclr w23, [sp] B83012DF stclr w16, [x22] B82D119F stclr w13, [x12] B822139F stclr w2, [x28] B82C105F stclr w12, [x2] B839137F stclr w25, [x27] B83812FF stclr w24, [x23] B82D135F stclr w13, [x26] B839129F stclr w25, [x20] B82F13FF stclr w15, [sp] // STCLR_LDCLR_64_memop 11111000001xxxxx000100xxxxx11111 F82D129F stclr x13, [x20] F824103F stclr x4, [x1] F821117F stclr x1, [x11] F83B11FF stclr x27, [x15] F827117F stclr x7, [x11] F83F13BF stclr xzr, [x29] F83811FF stclr x24, [x15] F83211BF stclr x18, [x13] F825111F stclr x5, [x8] F82F115F stclr x15, [x10] F82712BF stclr x7, [x21] F83B13DF stclr x27, [x30] F82113BF stclr x1, [x29] F82911FF stclr x9, [x15] F82F12BF stclr x15, [x21] F83512DF stclr x21, [x22] // STEORB_LDEORB_32_memop 00111000001xxxxx001000xxxxx11111 3823225F steorb w3, [x18] 383422DF steorb w20, [x22] 383D23BF steorb w29, [x29] 3839227F steorb w25, [x19] 383821BF steorb w24, [x13] 382E219F steorb w14, [x12] 383B21BF steorb w27, [x13] 383522BF steorb w21, [x21] 383B207F steorb w27, [x3] 3822203F steorb w2, [x1] 382E22BF steorb w14, [x21] 382023BF steorb w0, [x29] 383B225F steorb w27, [x18] 382E23DF steorb w14, [x30] 382820BF steorb w8, [x5] 3836223F steorb w22, [x17] // STEORH_LDEORH_32_memop 01111000001xxxxx001000xxxxx11111 783D207F steorh w29, [x3] 7830203F steorh w16, [x1] 782721FF steorh w7, [x15] 782D20FF steorh w13, [x7] 783722FF steorh w23, [x23] 7837217F steorh w23, [x11] 782821DF steorh w8, [x14] 783923FF steorh w25, [sp] 7832227F steorh w18, [x19] 782220DF steorh w2, [x6] 7822207F steorh w2, [x3] 7831239F steorh w17, [x28] 783C211F steorh w28, [x8] 783421FF steorh w20, [x15] 7827211F steorh w7, [x8] 783020BF steorh w16, [x5] // STEORLB_LDEORLB_32_memop 00111000011xxxxx001000xxxxx11111 386120FF steorlb w1, [x7] 3863227F steorlb w3, [x19] 387C209F steorlb w28, [x4] 3877227F steorlb w23, [x19] 387A227F steorlb w26, [x19] 3879209F steorlb w25, [x4] 3861205F steorlb w1, [x2] 387C22BF steorlb w28, [x21] 3865217F steorlb w5, [x11] 3869215F steorlb w9, [x10] 3871201F steorlb w17, [x0] 3863203F steorlb w3, [x1] 3876213F steorlb w22, [x9] 3879217F steorlb w25, [x11] 3873223F steorlb w19, [x17] 386E21BF steorlb w14, [x13] // STEORLH_LDEORLH_32_memop 01111000011xxxxx001000xxxxx11111 787C201F steorlh w28, [x0] 787823BF steorlh w24, [x29] 786720DF steorlh w7, [x6] 787D213F steorlh w29, [x9] 787421DF steorlh w20, [x14] 7877219F steorlh w23, [x12] 787021BF steorlh w16, [x13] 7873229F steorlh w19, [x20] 7865217F steorlh w5, [x11] 786422BF steorlh w4, [x21] 7869211F steorlh w9, [x8] 786422DF steorlh w4, [x22] 786420BF steorlh w4, [x5] 7873207F steorlh w19, [x3] 7866223F steorlh w6, [x17] 786820FF steorlh w8, [x7] // STEORL_LDEORL_32_memop 10111000011xxxxx001000xxxxx11111 B86422BF steorl w4, [x21] B86721BF steorl w7, [x13] B878237F steorl w24, [x27] B86C219F steorl w12, [x12] B876227F steorl w22, [x19] B86B237F steorl w11, [x27] B865235F steorl w5, [x26] B862203F steorl w2, [x1] B869223F steorl w9, [x17] B86820BF steorl w8, [x5] B86C237F steorl w12, [x27] B87B21DF steorl w27, [x14] B863215F steorl w3, [x10] B87022BF steorl w16, [x21] B874223F steorl w20, [x17] B863223F steorl w3, [x17] // STEORL_LDEORL_64_memop 11111000011xxxxx001000xxxxx11111 F871205F steorl x17, [x2] F878223F steorl x24, [x17] F86F213F steorl x15, [x9] F86622FF steorl x6, [x23] F86A225F steorl x10, [x18] F863213F steorl x3, [x9] F864231F steorl x4, [x24] F86A201F steorl x10, [x0] F878227F steorl x24, [x19] F86C215F steorl x12, [x10] F87C225F steorl x28, [x18] F87320DF steorl x19, [x6] F870205F steorl x16, [x2] F86122BF steorl x1, [x21] F87120BF steorl x17, [x5] F86020BF steorl x0, [x5] // STEOR_LDEOR_32_memop 10111000001xxxxx001000xxxxx11111 B83D23DF steor w29, [x30] B835213F steor w21, [x9] B834207F steor w20, [x3] B82A235F steor w10, [x26] B823227F steor w3, [x19] B82F217F steor w15, [x11] B830205F steor w16, [x2] B82D23BF steor w13, [x29] B82922DF steor w9, [x22] B822205F steor w2, [x2] B82E209F steor w14, [x4] B82921DF steor w9, [x14] B835205F steor w21, [x2] B82E20BF steor w14, [x5] B83D21BF steor w29, [x13] B83820BF steor w24, [x5] // STEOR_LDEOR_64_memop 11111000001xxxxx001000xxxxx11111 F82921DF steor x9, [x14] F82E20DF steor x14, [x6] F83B239F steor x27, [x28] F82322DF steor x3, [x22] F820237F steor x0, [x27] F83223FF steor x18, [sp] F83B21FF steor x27, [x15] F825207F steor x5, [x3] F82D239F steor x13, [x28] F823203F steor x3, [x1] F833225F steor x19, [x18] F83623FF steor x22, [sp] F83D21FF steor x29, [x15] F821203F steor x1, [x1] F822237F steor x2, [x27] F83E235F steor x30, [x26] // STGM_64bulk_ldsttags 1101100110100000000000xxxxxxxxxx D9A0028A stgm x10, [x20] D9A002B7 stgm x23, [x21] D9A0032D stgm x13, [x25] D9A003FC stgm x28, [sp] D9A00385 stgm x5, [x28] D9A000E1 stgm x1, [x7] D9A00396 stgm x22, [x28] D9A00331 stgm x17, [x25] D9A003C8 stgm x8, [x30] D9A00250 stgm x16, [x18] D9A003A6 stgm x6, [x29] D9A00293 stgm x19, [x20] D9A00366 stgm x6, [x27] D9A001EA stgm x10, [x15] D9A00142 stgm x2, [x10] D9A00377 stgm x23, [x27] // STGP_64_ldstpair_off 0110100100xxxxxxxxxxxxxxxxxxxxxx 691FC8DC stgp x28, x18, [x6, #0x3f0] 690A6BA6 stgp x6, x26, [x29, #0x140] 69373F91 stgp x17, x15, [x28, #-0x120] 691D26E7 stgp x7, x9, [x23, #0x3a0] 690899E5 stgp x5, x6, [x15, #0x110] 693314AD stgp x13, x5, [x5, #-0x1a0] 690B0675 stgp x21, x1, [x19, #0x160] 692ECBA2 stgp x2, x18, [x29, #-0x230] 693782DD stgp x29, x0, [x22, #-0x110] 69373AC5 stgp x5, x14, [x22, #-0x120] 692CB499 stgp x25, x13, [x4, #-0x270] 69225798 stgp x24, x21, [x28, #-0x3c0] 6928BCE9 stgp x9, x15, [x7, #-0x2f0] 692CE132 stgp x18, x24, [x9, #-0x270] 692A2B5A stgp x26, x10, [x26, #-0x2c0] 692738E1 stgp x1, x14, [x7, #-0x320] // STGP_64_ldstpair_post 0110100010xxxxxxxxxxxxxxxxxxxxxx 68966124 stgp x4, x24, [x9], #0x2c0 6884FEAA stgp x10, xzr, [x21], #0x90 688AA466 stgp x6, x9, [x3], #0x150 689E40D7 stgp x23, x16, [x6], #0x3c0 688C9595 stgp x21, x5, [x12], #0x190 688B92D1 stgp x17, x4, [x22], #0x170 68AC64C3 stgp x3, x25, [x6], #-0x280 68B3915C stgp x28, x4, [x10], #-0x190 68BF50CA stgp x10, x20, [x6], #-0x20 68AC0F3D stgp x29, x3, [x25], #-0x280 6898DFED stgp x13, x23, [sp], #0x310 68806EA1 stgp x1, x27, [x21], #0x0 688E4F65 stgp x5, x19, [x27], #0x1c0 68AFAC34 stgp x20, x11, [x1], #-0x210 68964C94 stgp x20, x19, [x4], #0x2c0 68B2E828 stgp x8, x26, [x1], #-0x1b0 // STGP_64_ldstpair_pre 0110100110xxxxxxxxxxxxxxxxxxxxxx 69B13D20 stgp x0, x15, [x9, #-0x1e0]! 69B74269 stgp x9, x16, [x19, #-0x120]! 69895160 stgp x0, x20, [x11, #0x120]! 69BA19EE stgp x14, x6, [x15, #-0xc0]! 69893730 stgp x16, x13, [x25, #0x120]! 69A991C1 stgp x1, x4, [x14, #-0x2d0]! 69933CC7 stgp x7, x15, [x6, #0x260]! 6998226A stgp x10, x8, [x19, #0x300]! 6994F12F stgp x15, x28, [x9, #0x290]! 69A8B753 stgp x19, x13, [x26, #-0x2f0]! 698AC328 stgp x8, x16, [x25, #0x150]! 69B8DB8A stgp x10, x22, [x28, #-0xf0]! 69935467 stgp x7, x21, [x3, #0x260]! 69A7C059 stgp x25, x16, [x2, #-0x310]! 69A1C426 stgp x6, x17, [x1, #-0x3d0]! 69A8C0D2 stgp x18, x16, [x6, #-0x2f0]! // STG_64Soffset_ldsttags 11011001001xxxxxxxxx10xxxxxxxxxx D92C1B43 stg x3, [x26, #0xc10] D9281A4A stg x10, [x18, #0x810] D92A0B18 stg x24, [x24, #0xa00] D9385834 stg x20, [x1, #-0x7b0] D93599C4 stg x4, [x14, #-0xa70] D9363B98 stg x24, [x28, #-0x9d0] D923A8E5 stg x5, [x7, #0x3a0] D9326BA1 stg x1, [x29, #-0xda0] D9280AA3 stg x3, [x21, #0x800] D93968E1 stg x1, [x7, #-0x6a0] D92429FB stg x27, [x15, #0x420] D939E8AC stg x12, [x5, #-0x620] D924D8F4 stg x20, [x7, #0x4d0] D9225A12 stg x18, [x16, #0x250] D9343BCC stg x12, [x30, #-0xbd0] D931ABEE stg x14, [sp, #-0xe60] // STG_64Spost_ldsttags 11011001001xxxxxxxxx01xxxxxxxxxx D938D482 stg x2, [x4], #-0x730 D93C54F4 stg x20, [x7], #-0x3b0 D922259B stg x27, [x12], #0x220 D93A344B stg x11, [x2], #-0x5d0 D93EA619 stg x25, [x16], #-0x160 D93234B8 stg x24, [x5], #-0xdd0 D933A624 stg x4, [x17], #-0xc60 D92AB748 stg x8, [x26], #0xab0 D92677D2 stg x18, [x30], #0x670 D932771A stg x26, [x24], #-0xd90 D93BE5FC stg x28, [x15], #-0x420 D928E70A stg x10, [x24], #0x8e0 D92A441C stg x28, [x0], #0xa40 D926851F stg sp, [x8], #0x680 D9343503 stg x3, [x8], #-0xbd0 D929B659 stg x25, [x18], #0x9b0 // STG_64Spre_ldsttags 11011001001xxxxxxxxxxxxxxxxxxxxx D938BCD2 stg x18, [x6, #-0x750]! D9351CDF stg sp, [x6, #-0xaf0]! D92F3C8F stg x15, [x4, #0xf30]! D930BF7E stg x30, [x27, #-0xf50]! D928CF5A stg x26, [x26, #0x8c0]! D9299CC6 stg x6, [x6, #0x990]! D93EBE17 stg x23, [x16, #-0x150]! D9393F2D stg x13, [x25, #-0x6d0]! D9200C93 stg x19, [x4, #0x0]! D939FC11 stg x17, [x0, #-0x610]! D93AEC94 stg x20, [x4, #-0x520]! D93B0E77 stg x23, [x19, #-0x500]! D92B2F3E stg x30, [x25, #0xb20]! D9359C58 stg x24, [x2, #-0xa70]! D92CBCB4 stg x20, [x5, #0xcb0]! D93A9EDE stg x30, [x22, #-0x570]! // STLLRB_SL32_ldstexcl 00001000100xxxxx0xxxxxxxxxxxxxxx 089B5221 stllrb w1, [x17] 08960D1C stllrb w28, [x8] 088474DB stllrb w27, [x6] 088C16B4 stllrb w20, [x21] 08892BB1 stllrb w17, [x29] 089A1A99 stllrb w25, [x20] 08910FC0 stllrb w0, [x30] 08825527 stllrb w7, [x9] 08814C5D stllrb w29, [x2] 089E380E stllrb w14, [x0] 08970B2A stllrb w10, [x25] 088F0AB7 stllrb w23, [x21] 089F1B20 stllrb w0, [x25] 08855ABB stllrb w27, [x21] 089D22E5 stllrb w5, [x23] 089B300C stllrb w12, [x0] // STLLRH_SL32_ldstexcl 01001000100xxxxx0xxxxxxxxxxxxxxx 489008A3 stllrh w3, [x5] 489A2210 stllrh w16, [x16] 48820ACA stllrh w10, [x22] 489F3436 stllrh w22, [x1] 489941C6 stllrh w6, [x14] 48990FEB stllrh w11, [sp] 488B108A stllrh w10, [x4] 489846B2 stllrh w18, [x21] 489C3898 stllrh w24, [x4] 489B3BA9 stllrh w9, [x29] 489D5798 stllrh w24, [x28] 488010F2 stllrh w18, [x7] 489C3839 stllrh w25, [x1] 48853F0F stllrh w15, [x24] 489D3113 stllrh w19, [x8] 489E6DCF stllrh w15, [x14] // STLLR_SL32_ldstexcl 10001000100xxxxx0xxxxxxxxxxxxxxx 88926A78 stllr w24, [x19] 888F5699 stllr w25, [x20] 889C4227 stllr w7, [x17] 889338CC stllr w12, [x6] 8890754F stllr w15, [x10] 88950A7C stllr w28, [x19] 8897729E stllr w30, [x20] 88975DB4 stllr w20, [x13] 88986524 stllr w4, [x9] 88826F6A stllr w10, [x27] 88985B8F stllr w15, [x28] 88837E20 stllr w0, [x17] 88960F41 stllr w1, [x26] 88921F9A stllr w26, [x28] 88854324 stllr w4, [x25] 888623A6 stllr w6, [x29] // STLLR_SL64_ldstexcl 11001000100xxxxx0xxxxxxxxxxxxxxx C8874685 stllr x5, [x20] C88F259D stllr x29, [x12] C89A2C83 stllr x3, [x4] C8897550 stllr x16, [x10] C88153AD stllr x13, [x29] C89B12DB stllr x27, [x22] C8891D51 stllr x17, [x10] C89861E3 stllr x3, [x15] C882114F stllr x15, [x10] C8926A1A stllr x26, [x16] C89A74D6 stllr x22, [x6] C8876FE1 stllr x1, [sp] C8821415 stllr x21, [x0] C89D24CC stllr x12, [x6] C88F46D6 stllr x22, [x22] C8831E76 stllr x22, [x19] // STLRB_SL32_ldstexcl 00001000100xxxxx1xxxxxxxxxxxxxxx 088CB9F2 stlrb w18, [x15] 088FD312 stlrb w18, [x24] 08808A54 stlrb w20, [x18] 0893AE18 stlrb w24, [x16] 089BE32D stlrb w13, [x25] 088FAB9E stlrb w30, [x28] 088FC8AF stlrb w15, [x5] 0896D917 stlrb w23, [x8] 088AF737 stlrb w23, [x25] 08849EF1 stlrb w17, [x23] 089EDE44 stlrb w4, [x18] 089C981E stlrb w30, [x0] 08818BF5 stlrb w21, [sp] 089BC185 stlrb w5, [x12] 088685E0 stlrb w0, [x15] 0885F7E7 stlrb w7, [sp] // STLRH_SL32_ldstexcl 01001000100xxxxx1xxxxxxxxxxxxxxx 4889998A stlrh w10, [x12] 488B8659 stlrh w25, [x18] 4898E46D stlrh w13, [x3] 489CBC72 stlrh w18, [x3] 488CFB60 stlrh w0, [x27] 4880F6C1 stlrh w1, [x22] 488BD77B stlrh w27, [x27] 4888C28E stlrh w14, [x20] 4893DE36 stlrh w22, [x17] 4897858C stlrh w12, [x12] 489CF2B0 stlrh w16, [x21] 4897C700 stlrh w0, [x24] 488B95E3 stlrh w3, [x15] 488DD773 stlrh w19, [x27] 4895C4F0 stlrh w16, [x7] 4895C3BE stlrh w30, [x29] // STLR_SL32_ldstexcl 10001000100xxxxx1xxxxxxxxxxxxxxx 888DADDF stlr wzr, [x14] 889BF878 stlr w24, [x3] 8897FAA3 stlr w3, [x21] 888BE375 stlr w21, [x27] 8890D3DB stlr w27, [x30] 889AD13C stlr w28, [x9] 8891FEBC stlr w28, [x21] 888AB66E stlr w14, [x19] 8883FCBF stlr wzr, [x5] 888CFA05 stlr w5, [x16] 8899F7E0 stlr w0, [sp] 889FBE6C stlr w12, [x19] 88829B0A stlr w10, [x24] 8895BA07 stlr w7, [x16] 888BBB77 stlr w23, [x27] 888C9261 stlr w1, [x19] // STLR_SL64_ldstexcl 11001000100xxxxx1xxxxxxxxxxxxxxx C88DD332 stlr x18, [x25] C882CE20 stlr x0, [x17] C89B8FC7 stlr x7, [x30] C8849BFC stlr x28, [sp] C880A8E9 stlr x9, [x7] C8938654 stlr x20, [x18] C88383BC stlr x28, [x29] C8968FAF stlr x15, [x29] C89F9283 stlr x3, [x20] C88AE340 stlr x0, [x26] C888CF82 stlr x2, [x28] C88AB748 stlr x8, [x26] C889F06D stlr x13, [x3] C899A607 stlr x7, [x16] C889B2D7 stlr x23, [x22] C881E304 stlr x4, [x24] // STLURB_32_ldapstl_unscaled 0001100100xxxxxxxxxxxxxxxxxxxxxx 19055201 stlurb w1, [x16, #0x55] 1907918D stlurb w13, [x12, #0x79] 190162C4 stlurb w4, [x22, #0x16] 191143AB stlurb w11, [x29, #-0xec] 1911E030 stlurb w16, [x1, #-0xe2] 191641F7 stlurb w23, [x15, #-0x9c] 1905029B stlurb w27, [x20, #0x50] 1904A35C stlurb w28, [x26, #0x4a] 1914C073 stlurb w19, [x3, #-0xb4] 19156348 stlurb w8, [x26, #-0xaa] 191E4350 stlurb w16, [x26, #-0x1c] 1911D37B stlurb w27, [x27, #-0xe3] 1911E3D7 stlurb w23, [x30, #-0xe2] 190A63EC stlurb w12, [sp, #0xa6] 1906A268 stlurb w8, [x19, #0x6a] 1919C163 stlurb w3, [x11, #-0x64] // STLURH_32_ldapstl_unscaled 0101100100xxxxxxxxxxxxxxxxxxxxxx 591C40BC stlurh w28, [x5, #-0x3c] 590BF233 stlurh w19, [x17, #0xbf] 5902707A stlurh w26, [x3, #0x27] 5914A3A3 stlurh w3, [x29, #-0xb6] 59051359 stlurh w25, [x26, #0x51] 591001B1 stlurh w17, [x13, #-0x100] 590FA07E stlurh w30, [x3, #0xfa] 591D30E9 stlurh w9, [x7, #-0x2d] 59003136 stlurh w22, [x9, #0x3] 591840A0 stlurh w0, [x5, #-0x7c] 591CD242 stlurh w2, [x18, #-0x33] 5912A3E1 stlurh w1, [sp, #-0xd6] 591CE296 stlurh w22, [x20, #-0x32] 5915E256 stlurh w22, [x18, #-0xa2] 5904E091 stlurh w17, [x4, #0x4e] 5903D0F6 stlurh w22, [x7, #0x3d] // STLUR_32_ldapstl_unscaled 1001100100xxxxxxxxxxxxxxxxxxxxxx 9909B101 stlur w1, [x8, #0x9b] 9903D192 stlur w18, [x12, #0x3d] 991CB011 stlur w17, [x0, #-0x35] 990AE1F5 stlur w21, [x15, #0xae] 99085362 stlur w2, [x27, #0x85] 990C83F6 stlur w22, [sp, #0xc8] 9906E08B stlur w11, [x4, #0x6e] 991FA18A stlur w10, [x12, #-0x6] 990C61E2 stlur w2, [x15, #0xc6] 990EB323 stlur w3, [x25, #0xeb] 99147396 stlur w22, [x28, #-0xb9] 991CF000 stlur w0, [x0, #-0x31] 9903F086 stlur w6, [x4, #0x3f] 9914D20F stlur w15, [x16, #-0xb3] 991001FB stlur w27, [x15, #-0x100] 991F3339 stlur w25, [x25, #-0xd] // STLUR_64_ldapstl_unscaled 11011001000xxxxxxxxxxxxxxxxxxxxx D915C166 stlur x6, [x11, #-0xa4] D90B70A0 stlur x0, [x5, #0xb7] D91B516E stlur x14, [x11, #-0x4b] D91EF297 stlur x23, [x20, #-0x11] D91B3231 stlur x17, [x17, #-0x4d] D905E125 stlur x5, [x9, #0x5e] D90D8340 stlur x0, [x26, #0xd8] D91C91D9 stlur x25, [x14, #-0x37] D90B6056 stlur x22, [x2, #0xb6] D91281C9 stlur x9, [x14, #-0xd8] D91EE017 stlur x23, [x0, #-0x12] D90921DC stlur x28, [x14, #0x92] D910524D stlur x13, [x18, #-0xfb] D90E33A0 stlur x0, [x29, #0xe3] D914F352 stlur x18, [x26, #-0xb1] D90F12F6 stlur x22, [x23, #0xf1] // STLXP_SP32_ldstexcl 10001000001xxxxx1xxxxxxxxxxxxxxx 883EFF04 stlxp w30, w4, wzr, [x24] 8821A79F stlxp w1, wzr, w9, [x28] 8833FE08 stlxp w19, w8, wzr, [x16] 882EB0CC stlxp w14, w12, w12, [x6] 88249954 stlxp w4, w20, w6, [x10] 8834E532 stlxp w20, w18, w25, [x9] 8828E4C1 stlxp w8, w1, w25, [x6] 8825D681 stlxp w5, w1, w21, [x20] 883381E8 stlxp w19, w8, w0, [x15] 88319565 stlxp w17, w5, w5, [x11] 8823D21F stlxp w3, wzr, w20, [x16] 8839E3DB stlxp w25, w27, w24, [x30] 882CCCB1 stlxp w12, w17, w19, [x5] 8833EE30 stlxp w19, w16, w27, [x17] 88218322 stlxp w1, w2, w0, [x25] 8830E796 stlxp w16, w22, w25, [x28] // STLXP_SP64_ldstexcl 11001000001xxxxx1xxxxxxxxxxxxxxx C82FE314 stlxp w15, x20, x24, [x24] C83FB956 stlxp wzr, x22, x14, [x10] C838BAB5 stlxp w24, x21, x14, [x21] C83D9C97 stlxp w29, x23, x7, [x4] C836AA6C stlxp w22, x12, x10, [x19] C832AC11 stlxp w18, x17, x11, [x0] C828B856 stlxp w8, x22, x14, [x2] C83DC80D stlxp w29, x13, x18, [x0] C82EA5A5 stlxp w14, x5, x9, [x13] C830AD0C stlxp w16, x12, x11, [x8] C829A459 stlxp w9, x25, x9, [x2] C834B09D stlxp w20, x29, x12, [x4] C8239DBC stlxp w3, x28, x7, [x13] C83EF611 stlxp w30, x17, x29, [x16] C83DD080 stlxp w29, x0, x20, [x4] C83988DF stlxp w25, xzr, x2, [x6] // STLXRB_SR32_ldstexcl 00001000000xxxxx1xxxxxxxxxxxxxxx 0811B3FD stlxrb w17, w29, [sp] 0814C6A6 stlxrb w20, w6, [x21] 0810BBC4 stlxrb w16, w4, [x30] 0807B3C7 stlxrb w7, w7, [x30] 0813CDAD stlxrb w19, w13, [x13] 081B9DDE stlxrb w27, w30, [x14] 0800E366 stlxrb w0, w6, [x27] 080EF517 stlxrb w14, w23, [x8] 080FA68A stlxrb w15, w10, [x20] 0800C90E stlxrb w0, w14, [x8] 080CC24E stlxrb w12, w14, [x18] 080AF57B stlxrb w10, w27, [x11] 081DE84B stlxrb w29, w11, [x2] 081A97FD stlxrb w26, w29, [sp] 0808C546 stlxrb w8, w6, [x10] 080E879A stlxrb w14, w26, [x28] // STLXRH_SR32_ldstexcl 01001000000xxxxx1xxxxxxxxxxxxxxx 481CA257 stlxrh w28, w23, [x18] 4817A77D stlxrh w23, w29, [x27] 4810A7F6 stlxrh w16, w22, [sp] 480EF502 stlxrh w14, w2, [x8] 4804B23D stlxrh w4, w29, [x17] 48038856 stlxrh w3, w22, [x2] 480ACAA2 stlxrh w10, w2, [x21] 480E9A9C stlxrh w14, w28, [x20] 480ED64B stlxrh w14, w11, [x18] 481FB58E stlxrh wzr, w14, [x12] 480CDE24 stlxrh w12, w4, [x17] 4818CDD2 stlxrh w24, w18, [x14] 48009707 stlxrh w0, w7, [x24] 4808E039 stlxrh w8, w25, [x1] 4809E2D4 stlxrh w9, w20, [x22] 48098E26 stlxrh w9, w6, [x17] // STLXR_SR32_ldstexcl 10001000000xxxxx1xxxxxxxxxxxxxxx 8815836E stlxr w21, w14, [x27] 881BAE81 stlxr w27, w1, [x20] 8816F5FD stlxr w22, w29, [x15] 8806B221 stlxr w6, w1, [x17] 8815DF5A stlxr w21, w26, [x26] 8816A02F stlxr w22, w15, [x1] 881BB268 stlxr w27, w8, [x19] 8800A768 stlxr w0, w8, [x27] 880CF8C9 stlxr w12, w9, [x6] 8805C406 stlxr w5, w6, [x0] 88069125 stlxr w6, w5, [x9] 880B8B8E stlxr w11, w14, [x28] 8818DF51 stlxr w24, w17, [x26] 881A8B6D stlxr w26, w13, [x27] 880ECC1B stlxr w14, w27, [x0] 8814B54E stlxr w20, w14, [x10] // STLXR_SR64_ldstexcl 11001000000xxxxx1xxxxxxxxxxxxxxx C81C96BB stlxr w28, x27, [x21] C80B9FD4 stlxr w11, x20, [x30] C819BA24 stlxr w25, x4, [x17] C80EC188 stlxr w14, x8, [x12] C803E595 stlxr w3, x21, [x12] C80DE374 stlxr w13, x20, [x27] C80B8923 stlxr w11, x3, [x9] C813F05B stlxr w19, x27, [x2] C81BB274 stlxr w27, x20, [x19] C80694D4 stlxr w6, x20, [x6] C81BB608 stlxr w27, x8, [x16] C81BD5C2 stlxr w27, x2, [x14] C815FCA8 stlxr w21, x8, [x5] C802AA25 stlxr w2, x5, [x17] C808A204 stlxr w8, x4, [x16] C8198329 stlxr w25, x9, [x25] // STNP_32_ldstnapair_offs 0010100000xxxxxxxxxxxxxxxxxxxxxx 28272607 stnp w7, w9, [x16, #-0xc8] 2838FF86 stnp w6, wzr, [x28, #-0x3c] 280C1340 stnp w0, w4, [x26, #0x60] 28387E90 stnp w16, wzr, [x20, #-0x40] 2833DEE5 stnp w5, w23, [x23, #-0x64] 28012B66 stnp w6, w10, [x27, #0x8] 280B4DEF stnp w15, w19, [x15, #0x58] 282495CD stnp w13, w5, [x14, #-0xdc] 282E4661 stnp w1, w17, [x19, #-0x90] 28365BC3 stnp w3, w22, [x30, #-0x50] 2815BB83 stnp w3, w14, [x28, #0xac] 2807AAD4 stnp w20, w10, [x22, #0x3c] 2823965C stnp w28, w5, [x18, #-0xe4] 2822C043 stnp w3, w16, [x2, #-0xec] 283B8EE1 stnp w1, w3, [x23, #-0x24] 28229613 stnp w19, w5, [x16, #-0xec] // STNP_64_ldstnapair_offs 1010100000xxxxxxxxxxxxxxxxxxxxxx A804367B stnp x27, x13, [x19, #0x40] A802D1A7 stnp x7, x20, [x13, #0x28] A8399FDE stnp x30, x7, [x30, #-0x68] A83687FF stnp xzr, x1, [sp, #-0x98] A8005FC9 stnp x9, x23, [x30] A8089617 stnp x23, x5, [x16, #0x88] A83E6EE6 stnp x6, x27, [x23, #-0x20] A8137CF9 stnp x25, xzr, [x7, #0x130] A814A71C stnp x28, x9, [x24, #0x148] A81507F8 stnp x24, x1, [sp, #0x150] A82C0498 stnp x24, x1, [x4, #-0x140] A823FBA0 stnp x0, x30, [x29, #-0x1c8] A8175560 stnp x0, x21, [x11, #0x170] A82BA22B stnp x11, x8, [x17, #-0x148] A825EBE1 stnp x1, x26, [sp, #-0x1a8] A83C7602 stnp x2, x29, [x16, #-0x40] // STNP_D_ldstnapair_offs 0110110000xxxxxxxxxxxxxxxxxxxxxx 6C2C92D3 stnp d19, d4, [x22, #-0x138] 6C341A08 stnp d8, d6, [x16, #-0xc0] 6C276557 stnp d23, d25, [x10, #-0x190] 6C003DED stnp d13, d15, [x15] 6C001A7C stnp d28, d6, [x19] 6C3BCBB8 stnp d24, d18, [x29, #-0x48] 6C2C1813 stnp d19, d6, [x0, #-0x140] 6C2E953F stnp d31, d5, [x9, #-0x118] 6C3F218C stnp d12, d8, [x12, #-0x10] 6C293B2F stnp d15, d14, [x25, #-0x170] 6C2845A0 stnp d0, d17, [x13, #-0x180] 6C0D6046 stnp d6, d24, [x2, #0xd0] 6C29B6CF stnp d15, d13, [x22, #-0x168] 6C3AFA8E stnp d14, d30, [x20, #-0x58] 6C07B812 stnp d18, d14, [x0, #0x78] 6C1AFCF7 stnp d23, d31, [x7, #0x1a8] // STNP_Q_ldstnapair_offs 1010110000xxxxxxxxxxxxxxxxxxxxxx AC01A62A stnp q10, q9, [x17, #0x30] AC12B8A3 stnp q3, q14, [x5, #0x250] AC3DD89B stnp q27, q22, [x4, #-0x50] AC133122 stnp q2, q12, [x9, #0x260] AC1D8C06 stnp q6, q3, [x0, #0x3b0] AC34C749 stnp q9, q17, [x26, #-0x170] AC30B7BB stnp q27, q13, [x29, #-0x1f0] AC26211D stnp q29, q8, [x8, #-0x340] AC29A771 stnp q17, q9, [x27, #-0x2d0] AC1244FB stnp q27, q17, [x7, #0x240] AC3AD2E7 stnp q7, q20, [x23, #-0xb0] AC13A1B9 stnp q25, q8, [x13, #0x270] AC1FB0E6 stnp q6, q12, [x7, #0x3f0] AC33E299 stnp q25, q24, [x20, #-0x190] AC3A9682 stnp q2, q5, [x20, #-0xb0] AC0BFE94 stnp q20, q31, [x20, #0x170] // STNP_S_ldstnapair_offs 0010110000xxxxxxxxxxxxxxxxxxxxxx 2C2F1221 stnp s1, s4, [x17, #-0x88] 2C059A28 stnp s8, s6, [x17, #0x2c] 2C25C792 stnp s18, s17, [x28, #-0xd4] 2C038D72 stnp s18, s3, [x11, #0x1c] 2C2A2E5A stnp s26, s11, [x18, #-0xb0] 2C203F4C stnp s12, s15, [x26, #-0x100] 2C17085C stnp s28, s2, [x2, #0xb8] 2C2E4631 stnp s17, s17, [x17, #-0x90] 2C347800 stnp s0, s30, [x0, #-0x60] 2C379215 stnp s21, s4, [x16, #-0x44] 2C3A2DD7 stnp s23, s11, [x14, #-0x30] 2C109A81 stnp s1, s6, [x20, #0x84] 2C029B81 stnp s1, s6, [x28, #0x14] 2C29E61E stnp s30, s25, [x16, #-0xb4] 2C15EDAC stnp s12, s27, [x13, #0xac] 2C1E4EED stnp s13, s19, [x23, #0xf0] // STP_32_ldstpair_off 0010100100xxxxxxxxxxxxxxxxxxxxxx 2907012B stp w11, w0, [x9, #0x38] 292D3A6D stp w13, w14, [x19, #-0x98] 29336EB7 stp w23, w27, [x21, #-0x68] 290B06FA stp w26, w1, [x23, #0x58] 2914D21F stp wzr, w20, [x16, #0xa4] 292F324B stp w11, w12, [x18, #-0x88] 29163B11 stp w17, w14, [x24, #0xb0] 292C2E97 stp w23, w11, [x20, #-0xa0] 293C147A stp w26, w5, [x3, #-0x20] 29097288 stp w8, w28, [x20, #0x48] 291F402A stp w10, w16, [x1, #0xf8] 29199C76 stp w22, w7, [x3, #0xcc] 29338DD3 stp w19, w3, [x14, #-0x64] 2908AF8F stp w15, w11, [x28, #0x44] 292FB4CF stp w15, w13, [x6, #-0x84] 293B28A7 stp w7, w10, [x5, #-0x28] // STP_32_ldstpair_post 0010100010xxxxxxxxxxxxxxxxxxxxxx 28B77797 stp w23, w29, [x28], #-0x48 28AFAAD3 stp w19, w10, [x22], #-0x84 28B2961B stp w27, w5, [x16], #-0x6c 289C05A7 stp w7, w1, [x13], #0xe0 2880A3E4 stp w4, w8, [sp], #0x4 28807C4C stp w12, wzr, [x2], #0x0 2896173F stp wzr, w5, [x25], #0xb0 28A88087 stp w7, w0, [x4], #-0xbc 28A33A90 stp w16, w14, [x20], #-0xe8 28A58338 stp w24, w0, [x25], #-0xd4 28892BF5 stp w21, w10, [sp], #0x48 28A4052D stp w13, w1, [x9], #-0xe0 28A3BC7B stp w27, w15, [x3], #-0xe4 28B9C522 stp w2, w17, [x9], #-0x34 28ACD5B7 stp w23, w21, [x13], #-0x9c 28958837 stp w23, w2, [x1], #0xac // STP_32_ldstpair_pre 0010100110xxxxxxxxxxxxxxxxxxxxxx 29ACBFA6 stp w6, w15, [x29, #-0x9c]! 298C1001 stp w1, w4, [x0, #0x60]! 29BD30D7 stp w23, w12, [x6, #-0x18]! 2994F348 stp w8, w28, [x26, #0xa4]! 299B0534 stp w20, w1, [x9, #0xd8]! 29944178 stp w24, w16, [x11, #0xa0]! 29861A6B stp w11, w6, [x19, #0x30]! 29ABD356 stp w22, w20, [x26, #-0xa4]! 298AA5C3 stp w3, w9, [x14, #0x54]! 29BC847B stp w27, w1, [x3, #-0x1c]! 29AF673F stp wzr, w25, [x25, #-136]! 29AE4AD7 stp w23, w18, [x22, #-0x90]! 29942EDF stp wzr, w11, [x22, #0xa0]! 29ABF782 stp w2, w29, [x28, #-0xa4]! 29A1900D stp w13, w4, [x0, #-0xf4]! 2981D07C stp w28, w20, [x3, #0xc]! // STP_64_ldstpair_off 1010100100xxxxxxxxxxxxxxxxxxxxxx A90F0D78 stp x24, x3, [x11, #0xf0] A93B27DA stp x26, x9, [x30, #-0x50] A92E6FC7 stp x7, x27, [x30, #-0x120] A92DB502 stp x2, x13, [x8, #-0x128] A92B8A0F stp x15, x2, [x16, #-0x148] A90F639D stp x29, x24, [x28, #0xf0] A91DEC18 stp x24, x27, [x0, #0x1d8] A905596E stp x14, x22, [x11, #0x50] A91FB0A5 stp x5, x12, [x5, #0x1f8] A90C31B9 stp x25, x12, [x13, #0xc0] A9098100 stp x0, x0, [x8, #0x98] A93926C1 stp x1, x9, [x22, #-0x70] A920174E stp x14, x5, [x26, #-0x200] A93F33CF stp x15, x12, [x30, #-0x10] A909AEB1 stp x17, x11, [x21, #0x98] A93F605B stp x27, x24, [x2, #-0x10] // STP_64_ldstpair_post 1010100010xxxxxxxxxxxxxxxxxxxxxx A89B32DA stp x26, x12, [x22], #0x1b0 A88D23C2 stp x2, x8, [x30], #0xd0 A8A90734 stp x20, x1, [x25], #-0x170 A88DE9F9 stp x25, x26, [x15], #0xd8 A8B53EAF stp x15, x15, [x21], #-0xb0 A8BB3233 stp x19, x12, [x17], #-0x50 A888DEAE stp x14, x23, [x21], #0x88 A8BABD53 stp x19, x15, [x10], #-0x58 A8A55E7D stp x29, x23, [x19], #-0x1b0 A8AB3A7C stp x28, x14, [x19], #-0x150 A8A32B04 stp x4, x10, [x24], #-0x1d0 A8B14111 stp x17, x16, [x8], #-0xf0 A8A9C875 stp x21, x18, [x3], #-0x168 A88928F7 stp x23, x10, [x7], #0x90 A8826A72 stp x18, x26, [x19], #0x20 A8B26E20 stp x0, x27, [x17], #-0xe0 // STP_64_ldstpair_pre 1010100110xxxxxxxxxxxxxxxxxxxxxx A9B347A8 stp x8, x17, [x29, #-0xd0]! A9B6602B stp x11, x24, [x1, #-0xa0]! A998E2E9 stp x9, x24, [x23, #0x188]! A9BDA70F stp x15, x9, [x24, #-0x28]! A9B3A3AB stp x11, x8, [x29, #-0xc8]! A9A9195E stp x30, x6, [x10, #-0x170]! A9930EFA stp x26, x3, [x23, #0x130]! A989EF93 stp x19, x27, [x28, #0x98]! A9B7C1B1 stp x17, x16, [x13, #-0x88]! A9BD619C stp x28, x24, [x12, #-0x30]! A989CA3A stp x26, x18, [x17, #0x98]! A99333FD stp x29, x12, [sp, #0x130]! A9B909F3 stp x19, x2, [x15, #-0x70]! A9A93BDF stp xzr, x14, [x30, #-0x170]! A9A25127 stp x7, x20, [x9, #-0x1e0]! A9867946 stp x6, x30, [x10, #0x60]! // STP_D_ldstpair_off 0110110100xxxxxxxxxxxxxxxxxxxxxx 6D29D427 stp d7, d21, [x1, #-0x168] 6D387F3C stp d28, d31, [x25, #-0x80] 6D36BBBD stp d29, d14, [x29, #-0x98] 6D050937 stp d23, d2, [x9, #0x50] 6D3489FF stp d31, d2, [x15, #-0xb8] 6D004FDE stp d30, d19, [x30] 6D205C92 stp d18, d23, [x4, #-0x200] 6D03417D stp d29, d16, [x11, #0x30] 6D200F33 stp d19, d3, [x25, #-0x200] 6D0B9DF4 stp d20, d7, [x15, #0xb8] 6D3C5BA0 stp d0, d22, [x29, #-0x40] 6D0A2A58 stp d24, d10, [x18, #0xa0] 6D218718 stp d24, d1, [x24, #-0x1e8] 6D1A6D1F stp d31, d27, [x8, #0x1a0] 6D16A851 stp d17, d10, [x2, #0x168] 6D070589 stp d9, d1, [x12, #0x70] // STP_D_ldstpair_post 0110110010xxxxxxxxxxxxxxxxxxxxxx 6CB9D3E2 stp d2, d20, [sp], #-0x68 6CACE02D stp d13, d24, [x1], #-0x138 6C986E3A stp d26, d27, [x17], #0x180 6CAC97BC stp d28, d5, [x29], #-0x138 6CAAA400 stp d0, d9, [x0], #-0x158 6C878799 stp d25, d1, [x28], #0x78 6C922153 stp d19, d8, [x10], #0x120 6CA90E30 stp d16, d3, [x17], #-0x170 6C94B25F stp d31, d12, [x18], #0x148 6CB7C69E stp d30, d17, [x20], #-0x88 6CB4D0F6 stp d22, d20, [x7], #-0xb8 6C9E0565 stp d5, d1, [x11], #0x1e0 6CAA1A5A stp d26, d6, [x18], #-0x160 6CA67397 stp d23, d28, [x28], #-0x1a0 6C94A110 stp d16, d8, [x8], #0x148 6C86D23D stp d29, d20, [x17], #0x68 // STP_D_ldstpair_pre 0110110110xxxxxxxxxxxxxxxxxxxxxx 6DAC9C96 stp d22, d7, [x4, #-0x138]! 6D8049F0 stp d16, d18, [x15, #0x0]! 6DB638F9 stp d25, d14, [x7, #-0xa0]! 6DA428F8 stp d24, d10, [x7, #-0x1c0]! 6D81AACE stp d14, d10, [x22, #0x18]! 6DA169BC stp d28, d26, [x13, #-0x1f0]! 6D83FAAE stp d14, d30, [x21, #0x38]! 6D9F9262 stp d2, d4, [x19, #0x1f8]! 6DB02EA4 stp d4, d11, [x21, #-0x100]! 6DB9C068 stp d8, d16, [x3, #-0x68]! 6D9289D5 stp d21, d2, [x14, #0x128]! 6D8C28B3 stp d19, d10, [x5, #0xc0]! 6DA247FC stp d28, d17, [sp, #-0x1e0]! 6DB1C75E stp d30, d17, [x26, #-0xe8]! 6D8AC1C1 stp d1, d16, [x14, #0xa8]! 6DA953ED stp d13, d20, [sp, #-0x170]! // STP_Q_ldstpair_off 1010110100xxxxxxxxxxxxxxxxxxxxxx AD3D318E stp q14, q12, [x12, #-0x60] AD13B205 stp q5, q12, [x16, #0x270] AD38C613 stp q19, q17, [x16, #-0xf0] AD3C300B stp q11, q12, [x0, #-0x80] AD2DDE69 stp q9, q23, [x19, #-0x250] AD0D4B95 stp q21, q18, [x28, #0x1a0] AD0DF8D2 stp q18, q30, [x6, #0x1b0] AD0774B7 stp q23, q29, [x5, #0xe0] AD040642 stp q2, q1, [x18, #0x80] AD3D8660 stp q0, q1, [x19, #-0x50] AD0A4A90 stp q16, q18, [x20, #0x140] AD1B4E99 stp q25, q19, [x20, #0x360] AD3D7AD3 stp q19, q30, [x22, #-0x60] AD206A8B stp q11, q26, [x20, #-0x400] AD2EAB58 stp q24, q10, [x26, #-0x230] AD396225 stp q5, q24, [x17, #-0xe0] // STP_Q_ldstpair_post 1010110010xxxxxxxxxxxxxxxxxxxxxx AC9A2AE9 stp q9, q10, [x23], #0x340 AC93273B stp q27, q9, [x25], #0x260 AC8877A2 stp q2, q29, [x29], #0x100 ACB41ACD stp q13, q6, [x22], #-0x180 ACB90670 stp q16, q1, [x19], #-0xe0 ACABF219 stp q25, q28, [x16], #-0x290 ACA064AA stp q10, q25, [x5], #-0x400 ACB5727A stp q26, q28, [x19], #-0x160 AC85A503 stp q3, q9, [x8], #0xb0 AC9C2235 stp q21, q8, [x17], #0x380 AC99BC3D stp q29, q15, [x1], #0x330 ACB75D07 stp q7, q23, [x8], #-0x120 AC80D8C2 stp q2, q22, [x6], #0x10 ACB86491 stp q17, q25, [x4], #-0x100 ACB72267 stp q7, q8, [x19], #-0x120 ACAE81EE stp q14, q0, [x15], #-0x230 // STP_Q_ldstpair_pre 1010110110xxxxxxxxxxxxxxxxxxxxxx AD98AE73 stp q19, q11, [x19, #0x310]! AD82AC49 stp q9, q11, [x2, #0x50]! AD89CC81 stp q1, q19, [x4, #0x130]! AD97DF02 stp q2, q23, [x24, #0x2f0]! AD8C2326 stp q6, q8, [x25, #0x180]! AD8B01B8 stp q24, q0, [x13, #0x160]! ADAB3F4F stp q15, q15, [x26, #-0x2a0]! AD9525EF stp q15, q9, [x15, #0x2a0]! ADB91C8D stp q13, q7, [x4, #-0xe0]! ADBD116B stp q11, q4, [x11, #-0x60]! AD98049B stp q27, q1, [x4, #0x300]! ADBA1BFB stp q27, q6, [sp, #-0xc0]! AD8E0BFF stp q31, q2, [sp, #0x1c0]! ADB2A744 stp q4, q9, [x26, #-0x1b0]! ADA55FB0 stp q16, q23, [x29, #-0x360]! AD8D86F7 stp q23, q1, [x23, #0x1b0]! // STP_S_ldstpair_off 0010110100xxxxxxxxxxxxxxxxxxxxxx 2D1CF8E9 stp s9, s30, [x7, #0xe4] 2D2896FD stp s29, s5, [x23, #-0xbc] 2D304B9F stp s31, s18, [x28, #-0x80] 2D391BE0 stp s0, s6, [sp, #-0x38] 2D097D47 stp s7, s31, [x10, #0x48] 2D070CCB stp s11, s3, [x6, #0x38] 2D20C47A stp s26, s17, [x3, #-0xfc] 2D15B32C stp s12, s12, [x25, #0xac] 2D12B60B stp s11, s13, [x16, #0x94] 2D1A1B75 stp s21, s6, [x27, #0xd0] 2D00C041 stp s1, s16, [x2, #0x4] 2D0B7445 stp s5, s29, [x2, #0x58] 2D046902 stp s2, s26, [x8, #0x20] 2D0D4EA3 stp s3, s19, [x21, #0x68] 2D0AB7C4 stp s4, s13, [x30, #0x54] 2D3C0483 stp s3, s1, [x4, #-0x20] // STP_S_ldstpair_post 0010110010xxxxxxxxxxxxxxxxxxxxxx 2CBEBE36 stp s22, s15, [x17], #-0xc 2CA5F02B stp s11, s28, [x1], #-0xd4 2CBA1673 stp s19, s5, [x19], #-0x30 2CAA0EFE stp s30, s3, [x23], #-0xb0 2CB776A8 stp s8, s29, [x21], #-0x48 2CB16B93 stp s19, s26, [x28], #-0x78 2C88A7FD stp s29, s9, [sp], #0x44 2C865BE1 stp s1, s22, [sp], #0x30 2C9874F0 stp s16, s29, [x7], #0xc0 2C95C477 stp s23, s17, [x3], #0xac 2C93A788 stp s8, s9, [x28], #0x9c 2CB26D66 stp s6, s27, [x11], #-0x70 2CBBFF53 stp s19, s31, [x26], #-0x24 2CB4998A stp s10, s6, [x12], #-0x5c 2C941FD9 stp s25, s7, [x30], #0xa0 2CB654DE stp s30, s21, [x6], #-0x50 // STP_S_ldstpair_pre 0010110110xxxxxxxxxxxxxxxxxxxxxx 2D91F239 stp s25, s28, [x17, #0x8c]! 2D8D0C73 stp s19, s3, [x3, #0x68]! 2DB5C0B5 stp s21, s16, [x5, #-0x54]! 2DA684EE stp s14, s1, [x7, #-0xcc]! 2DB9BF84 stp s4, s15, [x28, #-0x34]! 2D829B78 stp s24, s6, [x27, #0x14]! 2D9D6DD5 stp s21, s27, [x14, #0xe8]! 2DA6268D stp s13, s9, [x20, #-0xd0]! 2DAC9281 stp s1, s4, [x20, #-0x9c]! 2DB188CB stp s11, s2, [x6, #-0x74]! 2DAFD0DC stp s28, s20, [x6, #-0x84]! 2D92F3CD stp s13, s28, [x30, #0x94]! 2D9A2948 stp s8, s10, [x10, #0xd0]! 2DA01727 stp s7, s5, [x25, #-0x100]! 2D9C0717 stp s23, s1, [x24, #0xe0]! 2DB374D0 stp s16, s29, [x6, #-0x68]! // STRB_32BL_ldst_regoff 00111000001xxxxx011x10xxxxxxxxxx 38307A8D strb w13, [x20, x16, lsl #0x0] 3839690C strb w12, [x8, x25] 38276AF8 strb w24, [x23, x7] 383E7A0D strb w13, [x16, x30, lsl #0x0] 3824681E strb w30, [x0, x4] 383F7B66 strb w6, [x27, xzr, lsl #0x0] 38257BAA strb w10, [x29, x5, lsl #0x0] 383A6BCE strb w14, [x30, x26] 38326A75 strb w21, [x19, x18] 38337B8E strb w14, [x28, x19, lsl #0x0] 382A798E strb w14, [x12, x10, lsl #0x0] 382E7A9B strb w27, [x20, x14, lsl #0x0] 382D7AFE strb w30, [x23, x13, lsl #0x0] 383D78E7 strb w7, [x7, x29, lsl #0x0] 38367AB8 strb w24, [x21, x22, lsl #0x0] 383B7892 strb w18, [x4, x27, lsl #0x0] // STRB_32B_ldst_regoff 00111000001xxxxxx1xx10xxxxxxxxxx 383F4907 strb w7, [x8, wzr, uxtw] 382CF8BC strb w28, [x5, x12, sxtx #0x0] 382CEBFC strb w28, [sp, x12, sxtx] 382CFB77 strb w23, [x27, x12, sxtx #0x0] 383D4B71 strb w17, [x27, w29, uxtw] 383FDA60 strb w0, [x19, wzr, sxtw #0x0] 3828C8C6 strb w6, [x6, w8, sxtw] 38364895 strb w21, [x4, w22, uxtw] 383BE9BF strb wzr, [x13, x27, sxtx] 3835CB80 strb w0, [x28, w21, sxtw] 3822D8A7 strb w7, [x5, w2, sxtw #0x0] 3828F8CB strb w11, [x6, x8, sxtx #0x0] 382EF978 strb w24, [x11, x14, sxtx #0x0] 383B49FF strb wzr, [x15, w27, uxtw] 38205B93 strb w19, [x28, w0, uxtw #0x0] 38265B27 strb w7, [x25, w6, uxtw #0x0] // STRB_32_ldst_immpost 00111000000xxxxxxxxx01xxxxxxxxxx 381B5666 strb w6, [x19], #-0x4b 381037CE strb w14, [x30], #-0xfd 380BA46C strb w12, [x3], #0xba 3801D5D2 strb w18, [x14], #0x1d 381AF48B strb w11, [x4], #-0x51 380F65B9 strb w25, [x13], #0xf6 3816352D strb w13, [x9], #-0x9d 380AF45C strb w28, [x2], #0xaf 381744F1 strb w17, [x7], #-0x8c 381965D6 strb w22, [x14], #-0x6a 38025423 strb w3, [x1], #0x25 3814A790 strb w16, [x28], #-0xb6 3811D408 strb w8, [x0], #-0xe3 3816054B strb w11, [x10], #-0xa0 380DC58B strb w11, [x12], #0xdc 38179420 strb w0, [x1], #-0x87 // STRB_32_ldst_immpre 00111000000xxxxxxxxx11xxxxxxxxxx 38129EF9 strb w25, [x23, #-0xd7]! 381FAED2 strb w18, [x22, #-0x6]! 38144FC7 strb w7, [x30, #-0xbc]! 380AACE3 strb w3, [x7, #0xaa]! 38162DD7 strb w23, [x14, #-0x9e]! 38181C36 strb w22, [x1, #-0x7f]! 381B1C4C strb w12, [x2, #-0x4f]! 38096DFF strb wzr, [x15, #0x96]! 38077D51 strb w17, [x10, #0x77]! 38082C22 strb w2, [x1, #0x82]! 38180C83 strb w3, [x4, #-0x80]! 381C0E6C strb w12, [x19, #-0x40]! 38080D10 strb w16, [x8, #0x80]! 381B2D1E strb w30, [x8, #-0x4e]! 3801DF9F strb wzr, [x28, #0x1d]! 3809FE26 strb w6, [x17, #0x9f]! // STRB_32_ldst_pos 0011100100xxxxxxxxxxxxxxxxxxxxxx 39275FE9 strb w9, [sp, #0x9d7] 393BE4BD strb w29, [x5, #0xef9] 390DEC8E strb w14, [x4, #0x37b] 392EE4CC strb w12, [x6, #0xbb9] 393B7A6F strb w15, [x19, #0xede] 393A1DA8 strb w8, [x13, #0xe87] 390BA32C strb w12, [x25, #0x2e8] 39241579 strb w25, [x11, #0x905] 391E07E8 strb w8, [sp, #0x781] 393360C5 strb w5, [x6, #0xcd8] 39041713 strb w19, [x24, #0x105] 392DF94E strb w14, [x10, #0xb7e] 393D7987 strb w7, [x12, #0xf5e] 39264E4A strb w10, [x18, #0x993] 392240B6 strb w22, [x5, #0x890] 390E5ECA strb w10, [x22, #0x397] // STRH_32_ldst_immpost 01111000000xxxxxxxxx01xxxxxxxxxx 781955F1 strh w17, [x15], #-0x6b 781A95B9 strh w25, [x13], #-0x57 7805962E strh w14, [x17], #0x59 781CB615 strh w21, [x16], #-0x35 781F95BB strh w27, [x13], #-0x7 781CC593 strh w19, [x12], #-0x34 7816B728 strh w8, [x25], #-0x95 7810543F strh wzr, [x1], #-0xfb 780AE6E1 strh w1, [x23], #0xae 78033642 strh w2, [x18], #0x33 781F0720 strh w0, [x25], #-0x10 781F251B strh w27, [x8], #-0xe 780934C6 strh w6, [x6], #0x93 7807C7CA strh w10, [x30], #0x7c 781FF431 strh w17, [x1], #-0x1 7802F575 strh w21, [x11], #0x2f // STRH_32_ldst_immpre 01111000000xxxxxxxxx11xxxxxxxxxx 780B6EEE strh w14, [x23, #0xb6]! 78007EAB strh w11, [x21, #0x7]! 7809CD53 strh w19, [x10, #0x9c]! 781B3D8C strh w12, [x12, #-0x4d]! 781CDC89 strh w9, [x4, #-0x33]! 781A2D48 strh w8, [x10, #-0x5e]! 7813CF15 strh w21, [x24, #-0xc4]! 780FDF90 strh w16, [x28, #0xfd]! 78032C38 strh w24, [x1, #0x32]! 78018CDD strh w29, [x6, #0x18]! 78051F8C strh w12, [x28, #0x51]! 781F5E6F strh w15, [x19, #-0xb]! 781D2D66 strh w6, [x11, #-0x2e]! 78172C77 strh w23, [x3, #-0x8e]! 78038CDC strh w28, [x6, #0x38]! 78074F18 strh w24, [x24, #0x74]! // STRH_32_ldst_pos 0111100100xxxxxxxxxxxxxxxxxxxxxx 793A8CDB strh w27, [x6, #0x1d46] 79253D7D strh w29, [x11, #0x129e] 79087908 strh w8, [x8, #0x43c] 793AFF5C strh w28, [x26, #0x1d7e] 792CBD96 strh w22, [x12, #0x165e] 7939858D strh w13, [x12, #0x1cc2] 792CB060 strh w0, [x3, #0x1658] 790B0DD5 strh w21, [x14, #0x586] 792C5321 strh w1, [x25, #0x1628] 793BACCF strh w15, [x6, #0x1dd6] 7931F083 strh w3, [x4, #0x18f8] 7917FF64 strh w4, [x27, #0xbfe] 7907D6CA strh w10, [x22, #0x3ea] 792887E7 strh w7, [sp, #0x1442] 793C6A27 strh w7, [x17, #0x1e34] 791FCD78 strh w24, [x11, #0xfe6] // STRH_32_ldst_regoff 01111000001xxxxxx1xx10xxxxxxxxxx 78215892 strh w18, [x4, w1, uxtw #0x1] 7829DB68 strh w8, [x27, w9, sxtw #0x1] 78234851 strh w17, [x2, w3, uxtw] 7839EA47 strh w7, [x18, x25, sxtx] 7825CB76 strh w22, [x27, w5, sxtw] 7825793F strh wzr, [x9, x5, lsl #0x1] 782ECB71 strh w17, [x27, w14, sxtw] 78256A89 strh w9, [x20, x5] 783B5ADD strh w29, [x22, w27, uxtw #0x1] 782BD9BF strh wzr, [x13, w11, sxtw #0x1] 782E49CE strh w14, [x14, w14, uxtw] 782779D8 strh w24, [x14, x7, lsl #0x1] 7829E84F strh w15, [x2, x9, sxtx] 782BEB37 strh w23, [x25, x11, sxtx] 7823FA7D strh w29, [x19, x3, sxtx #0x1] 783AF821 strh w1, [x1, x26, sxtx #0x1] // STR_32_ldst_immpost 10111000000xxxxxxxxx01xxxxxxxxxx B80C55C8 str w8, [x14], #0xc5 B81F5785 str w5, [x28], #-0xb B813D55D str w29, [x10], #-0xc3 B819F46F str w15, [x3], #-0x61 B81094F8 str w24, [x7], #-0xf7 B81DF4B1 str w17, [x5], #-0x21 B80666C6 str w6, [x22], #0x66 B8124563 str w3, [x11], #-0xdc B810B413 str w19, [x0], #-0xf5 B80764EF str w15, [x7], #0x76 B8170562 str w2, [x11], #-0x90 B81695CA str w10, [x14], #-0x97 B8162458 str w24, [x2], #-0x9e B804A5C5 str w5, [x14], #0x4a B81F4679 str w25, [x19], #-0xc B805A5CC str w12, [x14], #0x5a // STR_32_ldst_immpre 10111000000xxxxxxxxx11xxxxxxxxxx B805EF45 str w5, [x26, #0x5e]! B80D7F1E str w30, [x24, #0xd7]! B8058EFE str w30, [x23, #0x58]! B807CE75 str w21, [x19, #0x7c]! B80C4E6B str w11, [x19, #0xc4]! B80A2EC0 str w0, [x22, #0xa2]! B81B3EB1 str w17, [x21, #-0x4d]! B818DE73 str w19, [x19, #-0x73]! B802CCF1 str w17, [x7, #0x2c]! B817DF62 str w2, [x27, #-0x83]! B8119E99 str w25, [x20, #-0xe7]! B80FCFA6 str w6, [x29, #0xfc]! B81C1C11 str w17, [x0, #-0x3f]! B8055E17 str w23, [x16, #0x55]! B815AF33 str w19, [x25, #-0xa6]! B8030D09 str w9, [x8, #0x30]! // STR_32_ldst_pos 1011100100xxxxxxxxxxxxxxxxxxxxxx B9205D5A str w26, [x10, #0x205c] B9245C33 str w19, [x1, #0x245c] B9038AC5 str w5, [x22, #0x388] B91E348D str w13, [x4, #0x1e34] B91CA1AA str w10, [x13, #0x1ca0] B902542E str w14, [x1, #0x254] B91A9495 str w21, [x4, #0x1a94] B919EC56 str w22, [x2, #0x19ec] B93E319D str w29, [x12, #0x3e30] B92AEFEC str w12, [sp, #0x2aec] B92902B2 str w18, [x21, #0x2900] B931B8BB str w27, [x5, #0x31b8] B928A0CE str w14, [x6, #0x28a0] B911AA5E str w30, [x18, #0x11a8] B91D3293 str w19, [x20, #0x1d30] B91CA2BC str w28, [x21, #0x1ca0] // STR_32_ldst_regoff 10111000001xxxxxx1xx10xxxxxxxxxx B82A7A62 str w2, [x19, x10, lsl #0x2] B83A6A59 str w25, [x18, x26] B8297A99 str w25, [x20, x9, lsl #0x2] B827FB1B str w27, [x24, x7, sxtx #0x2] B82A7944 str w4, [x10, x10, lsl #0x2] B829C88F str w15, [x4, w9, sxtw] B8355BA7 str w7, [x29, w21, uxtw #0x2] B8315B58 str w24, [x26, w17, uxtw #0x2] B82E59B3 str w19, [x13, w14, uxtw #0x2] B83FEA18 str w24, [x16, xzr, sxtx] B822C8F1 str w17, [x7, w2, sxtw] B829FADB str w27, [x22, x9, sxtx #0x2] B83B5902 str w2, [x8, w27, uxtw #0x2] B838FA52 str w18, [x18, x24, sxtx #0x2] B83BC961 str w1, [x11, w27, sxtw] B83CCB48 str w8, [x26, w28, sxtw] // STR_64_ldst_immpost 11111000000xxxxxxxxx01xxxxxxxxxx F80B5557 str x23, [x10], #0xb5 F818F585 str x5, [x12], #-0x71 F80AC4DD str x29, [x6], #0xac F8090608 str x8, [x16], #0x90 F80926F5 str x21, [x23], #0x92 F80395A0 str x0, [x13], #0x39 F81C25CA str x10, [x14], #-0x3e F81AE6FA str x26, [x23], #-0x52 F8130613 str x19, [x16], #-0xd0 F80847BB str x27, [x29], #0x84 F805B681 str x1, [x20], #0x5b F8152638 str x24, [x17], #-0xae F804942D str x13, [x1], #0x49 F80EF675 str x21, [x19], #0xef F805E6EE str x14, [x23], #0x5e F8078460 str x0, [x3], #0x78 // STR_64_ldst_immpre 11111000000xxxxxxxxx11xxxxxxxxxx F81DFF53 str x19, [x26, #-0x21]! F80CAD2E str x14, [x9, #0xca]! F816BF2A str x10, [x25, #-0x95]! F80F5D26 str x6, [x9, #0xf5]! F8030EE9 str x9, [x23, #0x30]! F8094C40 str x0, [x2, #0x94]! F8033E85 str x5, [x20, #0x33]! F80DEE2E str x14, [x17, #0xde]! F811DD56 str x22, [x10, #-0xe3]! F81FAF34 str x20, [x25, #-0x6]! F8129F6B str x11, [x27, #-0xd7]! F80E1C96 str x22, [x4, #0xe1]! F804BD1A str x26, [x8, #0x4b]! F8027FE0 str x0, [sp, #0x27]! F817BC08 str x8, [x0, #-0x85]! F81C1E71 str x17, [x19, #-0x3f]! // STR_64_ldst_pos 1111100100xxxxxxxxxxxxxxxxxxxxxx F90DA69A str x26, [x20, #0x1b48] F91DAA6B str x11, [x19, #0x3b50] F90B6DBD str x29, [x13, #0x16d8] F938F615 str x21, [x16, #0x71e8] F908F40C str x12, [x0, #0x11e8] F916616B str x11, [x11, #0x2cc0] F933DCC2 str x2, [x6, #0x67b8] F921FCB4 str x20, [x5, #0x43f8] F904FBD3 str x19, [x30, #0x9f0] F928D2A5 str x5, [x21, #0x51a0] F933CAAE str x14, [x21, #0x6790] F920AC2F str x15, [x1, #0x4158] F93FF5AD str x13, [x13, #0x7fe8] F91A89F4 str x20, [x15, #0x3510] F935C84F str x15, [x2, #0x6b90] F934A74D str x13, [x26, #0x6948] // STR_64_ldst_regoff 11111000001xxxxxx1xx10xxxxxxxxxx F833E969 str x9, [x11, x19, sxtx] F82249D8 str x24, [x14, w2, uxtw] F8385A87 str x7, [x20, w24, uxtw #0x3] F82B4BD7 str x23, [x30, w11, uxtw] F82BFAB8 str x24, [x21, x11, sxtx #0x3] F820D971 str x17, [x11, w0, sxtw #0x3] F82578EB str x11, [x7, x5, lsl #0x3] F830DA23 str x3, [x17, w16, sxtw #0x3] F8394BDF str xzr, [x30, w25, uxtw] F8216BD6 str x22, [x30, x1] F827DA64 str x4, [x19, w7, sxtw #0x3] F8354A27 str x7, [x17, w21, uxtw] F8226824 str x4, [x1, x2] F83BCA3E str x30, [x17, w27, sxtw] F828FB56 str x22, [x26, x8, sxtx #0x3] F823DB58 str x24, [x26, w3, sxtw #0x3] // STR_BL_ldst_regoff 00111100001xxxxx011x10xxxxxxxxxx 3C327978 str b24, [x11, x18, lsl #0x0] 3C30691E str b30, [x8, x16] 3C326934 str b20, [x9, x18] 3C207A85 str b5, [x20, x0, lsl #0x0] 3C267823 str b3, [x1, x6, lsl #0x0] 3C3C6985 str b5, [x12, x28] 3C207884 str b4, [x4, x0, lsl #0x0] 3C257A18 str b24, [x16, x5, lsl #0x0] 3C2F7856 str b22, [x2, x15, lsl #0x0] 3C376ABC str b28, [x21, x23] 3C376A7E str b30, [x19, x23] 3C376A0C str b12, [x16, x23] 3C3D7AAC str b12, [x21, x29, lsl #0x0] 3C207B6B str b11, [x27, x0, lsl #0x0] 3C206A65 str b5, [x19, x0] 3C206BA7 str b7, [x29, x0] // STR_B_ldst_immpost 00111100000xxxxxxxxx01xxxxxxxxxx 3C162655 str b21, [x18], #-0x9e 3C1194DD str b29, [x6], #-0xe7 3C14550A str b10, [x8], #-0xbb 3C02A703 str b3, [x24], #0x2a 3C184574 str b20, [x11], #-0x7c 3C16958A str b10, [x12], #-0x97 3C0256FE str b30, [x23], #0x25 3C02264F str b15, [x18], #0x22 3C0AB776 str b22, [x27], #0xab 3C19D794 str b20, [x28], #-0x63 3C06B55F str b31, [x10], #0x6b 3C1085B7 str b23, [x13], #-0xf8 3C17D40D str b13, [x0], #-0x83 3C1DA7BE str b30, [x29], #-0x26 3C1A8600 str b0, [x16], #-0x58 3C1AA4B1 str b17, [x5], #-0x56 // STR_B_ldst_immpre 00111100000xxxxxxxxx11xxxxxxxxxx 3C1E8F14 str b20, [x24, #-0x18]! 3C03FF12 str b18, [x24, #0x3f]! 3C0F7D02 str b2, [x8, #0xf7]! 3C113FAA str b10, [x29, #-0xed]! 3C006E56 str b22, [x18, #0x6]! 3C0D9E93 str b19, [x20, #0xd9]! 3C09FFA9 str b9, [x29, #0x9f]! 3C126D85 str b5, [x12, #-0xda]! 3C133F25 str b5, [x25, #-0xcd]! 3C1C6D90 str b16, [x12, #-0x3a]! 3C172EC4 str b4, [x22, #-0x8e]! 3C129E8C str b12, [x20, #-0xd7]! 3C1CEC7E str b30, [x3, #-0x32]! 3C162F00 str b0, [x24, #-0x9e]! 3C01EFD2 str b18, [x30, #0x1e]! 3C032D35 str b21, [x9, #0x32]! // STR_B_ldst_pos 0011110100xxxxxxxxxxxxxxxxxxxxxx 3D25327F str b31, [x19, #0x94c] 3D3CE630 str b16, [x17, #0xf39] 3D1E6805 str b5, [x0, #0x79a] 3D18723D str b29, [x17, #0x61c] 3D2B8AEC str b12, [x23, #0xae2] 3D001EB8 str b24, [x21, #0x7] 3D043872 str b18, [x3, #0x10e] 3D109680 str b0, [x20, #0x425] 3D3C926B str b11, [x19, #0xf24] 3D339F70 str b16, [x27, #0xce7] 3D1FD73B str b27, [x25, #0x7f5] 3D155167 str b7, [x11, #0x554] 3D30EAB0 str b16, [x21, #0xc3a] 3D373B8F str b15, [x28, #0xdce] 3D2BAB63 str b3, [x27, #0xaea] 3D025E3F str b31, [x17, #0x97] // STR_B_ldst_regoff 00111100001xxxxxx1xx10xxxxxxxxxx 3C354BDA str b26, [x30, w21, uxtw] 3C265BB0 str b16, [x29, w6, uxtw #0x0] 3C37FAF5 str b21, [x23, x23, sxtx #0x0] 3C264B78 str b24, [x27, w6, uxtw] 3C24DAE0 str b0, [x23, w4, sxtw #0x0] 3C21CAB2 str b18, [x21, w1, sxtw] 3C28EA40 str b0, [x18, x8, sxtx] 3C2CDB8F str b15, [x28, w12, sxtw #0x0] 3C3AFABA str b26, [x21, x26, sxtx #0x0] 3C234932 str b18, [x9, w3, uxtw] 3C325A1E str b30, [x16, w18, uxtw #0x0] 3C285BC2 str b2, [x30, w8, uxtw #0x0] 3C3B494E str b14, [x10, w27, uxtw] 3C20DA45 str b5, [x18, w0, sxtw #0x0] 3C30C93A str b26, [x9, w16, sxtw] 3C3ACBF7 str b23, [sp, w26, sxtw] // STR_D_ldst_immpost 11111100000xxxxxxxxx01xxxxxxxxxx FC0F857E str d30, [x11], #0xf8 FC1B458D str d13, [x12], #-0x4c FC05E593 str d19, [x12], #0x5e FC09060E str d14, [x16], #0x90 FC0397DF str d31, [x30], #0x39 FC142518 str d24, [x8], #-0xbe FC02E74A str d10, [x26], #0x2e FC05575D str d29, [x26], #0x55 FC1DA728 str d8, [x25], #-0x26 FC18E4CD str d13, [x6], #-0x72 FC135582 str d2, [x12], #-0xcb FC152697 str d23, [x20], #-0xae FC15072D str d13, [x25], #-0xb0 FC07E472 str d18, [x3], #0x7e FC099761 str d1, [x27], #0x99 FC09C5FA str d26, [x15], #0x9c // STR_D_ldst_immpre 11111100000xxxxxxxxx11xxxxxxxxxx FC16FD11 str d17, [x8, #-0x91]! FC096C09 str d9, [x0, #0x96]! FC1DFFE3 str d3, [sp, #-0x21]! FC103FEF str d15, [sp, #-0xfd]! FC01CE00 str d0, [x16, #0x1c]! FC1B8D38 str d24, [x9, #-0x48]! FC1ADD6B str d11, [x11, #-0x53]! FC08DE36 str d22, [x17, #0x8d]! FC0CCDA8 str d8, [x13, #0xcc]! FC19AE28 str d8, [x17, #-0x66]! FC182FBD str d29, [x29, #-0x7e]! FC1CED70 str d16, [x11, #-0x32]! FC066EF5 str d21, [x23, #0x66]! FC08EE15 str d21, [x16, #0x8e]! FC176EBA str d26, [x21, #-0x8a]! FC1AAE81 str d1, [x20, #-0x56]! // STR_D_ldst_pos 1111110100xxxxxxxxxxxxxxxxxxxxxx FD3E40FE str d30, [x7, #0x7c80] FD1EDF5A str d26, [x26, #0x3db8] FD0B650F str d15, [x8, #0x16c8] FD2DCB44 str d4, [x26, #0x5b90] FD06B676 str d22, [x19, #0xd68] FD315772 str d18, [x27, #0x62a8] FD0B42DF str d31, [x22, #0x1680] FD2EAD82 str d2, [x12, #0x5d58] FD23C284 str d4, [x20, #0x4780] FD2491FC str d28, [x15, #0x4920] FD2ACA31 str d17, [x17, #0x5590] FD22F2C1 str d1, [x22, #0x45e0] FD0FD8C6 str d6, [x6, #0x1fb0] FD0EF1D9 str d25, [x14, #0x1de0] FD00A8FA str d26, [x7, #0x150] FD1D2E2E str d14, [x17, #0x3a58] // STR_D_ldst_regoff 11111100001xxxxxx1xx10xxxxxxxxxx FC3EF913 str d19, [x8, x30, sxtx #0x3] FC3DC8B0 str d16, [x5, w29, sxtw] FC2EDABB str d27, [x21, w14, sxtw #0x3] FC216AB9 str d25, [x21, x1] FC25C850 str d16, [x2, w5, sxtw] FC2B7A2A str d10, [x17, x11, lsl #0x3] FC3679F5 str d21, [x15, x22, lsl #0x3] FC3BEA60 str d0, [x19, x27, sxtx] FC346BED str d13, [sp, x20] FC2E4874 str d20, [x3, w14, uxtw] FC274BFE str d30, [sp, w7, uxtw] FC32EA7A str d26, [x19, x18, sxtx] FC26C8FB str d27, [x7, w6, sxtw] FC36784B str d11, [x2, x22, lsl #0x3] FC2C5B4C str d12, [x26, w12, uxtw #0x3] FC255982 str d2, [x12, w5, uxtw #0x3] // STR_H_ldst_immpost 01111100000xxxxxxxxx01xxxxxxxxxx 7C1A1660 str h0, [x19], #-0x5f 7C04D716 str h22, [x24], #0x4d 7C19D787 str h7, [x28], #-0x63 7C186742 str h2, [x26], #-0x7a 7C12C6F3 str h19, [x23], #-0xd4 7C06563C str h28, [x17], #0x65 7C1BE708 str h8, [x24], #-0x42 7C00542D str h13, [x1], #0x5 7C0095A3 str h3, [x13], #0x9 7C1E2712 str h18, [x24], #-0x1e 7C01A6E1 str h1, [x23], #0x1a 7C18A697 str h23, [x20], #-0x76 7C11E4DA str h26, [x6], #-0xe2 7C195566 str h6, [x11], #-0x6b 7C169744 str h4, [x26], #-0x97 7C1B6603 str h3, [x16], #-0x4a // STR_H_ldst_immpre 01111100000xxxxxxxxx11xxxxxxxxxx 7C180DDF str h31, [x14, #-0x80]! 7C0B9FFF str h31, [sp, #0xb9]! 7C0C8C45 str h5, [x2, #0xc8]! 7C10AECF str h15, [x22, #-0xf6]! 7C0B1EF3 str h19, [x23, #0xb1]! 7C06FC2E str h14, [x1, #0x6f]! 7C12AF27 str h7, [x25, #-0xd6]! 7C1D7C5C str h28, [x2, #-0x29]! 7C185C5D str h29, [x2, #-0x7b]! 7C0EFC7F str h31, [x3, #0xef]! 7C141C62 str h2, [x3, #-0xbf]! 7C048DC3 str h3, [x14, #0x48]! 7C0DBD98 str h24, [x12, #0xdb]! 7C1FAD5B str h27, [x10, #-0x6]! 7C097E83 str h3, [x20, #0x97]! 7C17AE4C str h12, [x18, #-0x86]! // STR_H_ldst_pos 0111110100xxxxxxxxxxxxxxxxxxxxxx 7D11F4A6 str h6, [x5, #0x8fa] 7D22FC2C str h12, [x1, #0x117e] 7D1E18E7 str h7, [x7, #0xf0c] 7D1E74E1 str h1, [x7, #0xf3a] 7D3B8181 str h1, [x12, #0x1dc0] 7D299EFC str h28, [x23, #0x14ce] 7D378438 str h24, [x1, #0x1bc2] 7D04FECD str h13, [x22, #0x27e] 7D07A59F str h31, [x12, #0x3d2] 7D3B0221 str h1, [x17, #0x1d80] 7D3B571D str h29, [x24, #0x1daa] 7D3602EA str h10, [x23, #0x1b00] 7D2849EC str h12, [x15, #0x1424] 7D2EE8B9 str h25, [x5, #0x1774] 7D1C4F1B str h27, [x24, #0xe26] 7D23C689 str h9, [x20, #0x11e2] // STR_H_ldst_regoff 01111100001xxxxxx1xx10xxxxxxxxxx 7C2F5B24 str h4, [x25, w15, uxtw #0x1] 7C394BE4 str h4, [sp, w25, uxtw] 7C394A7F str h31, [x19, w25, uxtw] 7C36CB07 str h7, [x24, w22, sxtw] 7C3ADAFD str h29, [x23, w26, sxtw #0x1] 7C257AAE str h14, [x21, x5, lsl #0x1] 7C395855 str h21, [x2, w25, uxtw #0x1] 7C2BD957 str h23, [x10, w11, sxtw #0x1] 7C307BDB str h27, [x30, x16, lsl #0x1] 7C376A06 str h6, [x16, x23] 7C247BFC str h28, [sp, x4, lsl #0x1] 7C2AEB98 str h24, [x28, x10, sxtx] 7C2AEBFD str h29, [sp, x10, sxtx] 7C2C5A40 str h0, [x18, w12, uxtw #0x1] 7C2CFB62 str h2, [x27, x12, sxtx #0x1] 7C33F855 str h21, [x2, x19, sxtx #0x1] // STR_Q_ldst_immpost 00111100100xxxxxxxxx01xxxxxxxxxx 3C97F717 str q23, [x24], #-0x81 3C9B565D str q29, [x18], #-0x4b 3C9BC555 str q21, [x10], #-0x44 3C8B84CE str q14, [x6], #0xb8 3C91D750 str q16, [x26], #-0xe3 3C91F4FA str q26, [x7], #-0xe1 3C917469 str q9, [x3], #-0xe9 3C87D538 str q24, [x9], #0x7d 3C945712 str q18, [x24], #-0xbb 3C9F9426 str q6, [x1], #-0x7 3C959533 str q19, [x9], #-0xa7 3C93870A str q10, [x24], #-0xc8 3C8D34BD str q29, [x5], #0xd3 3C84D602 str q2, [x16], #0x4d 3C8A367F str q31, [x19], #0xa3 3C9185F3 str q19, [x15], #-0xe8 // STR_Q_ldst_immpre 00111100100xxxxxxxxx11xxxxxxxxxx 3C86DC91 str q17, [x4, #0x6d]! 3C9DDDBB str q27, [x13, #-0x23]! 3C9E0CA4 str q4, [x5, #-0x20]! 3C9A4E4A str q10, [x18, #-0x5c]! 3C9B8EC0 str q0, [x22, #-0x48]! 3C9DBDBF str q31, [x13, #-0x25]! 3C8D8F8D str q13, [x28, #0xd8]! 3C912FD5 str q21, [x30, #-0xee]! 3C9E6C89 str q9, [x4, #-0x1a]! 3C94EE24 str q4, [x17, #-0xb2]! 3C9F4FAB str q11, [x29, #-0xc]! 3C8D6CB1 str q17, [x5, #0xd6]! 3C95BDA5 str q5, [x13, #-0xa5]! 3C8EED11 str q17, [x8, #0xee]! 3C8C3EF5 str q21, [x23, #0xc3]! 3C9CAEA8 str q8, [x21, #-0x36]! // STR_Q_ldst_pos 0011110110xxxxxxxxxxxxxxxxxxxxxx 3DB7AE23 str q3, [x17, #0xdeb0] 3DBE4023 str q3, [x1, #0xf900] 3DAD202A str q10, [x1, #0xb480] 3D92B31B str q27, [x24, #0x4ac0] 3D91098B str q11, [x12, #0x4420] 3DA3C96C str q12, [x11, #0x8f20] 3D91E05C str q28, [x2, #0x4780] 3D984D6B str q11, [x11, #0x6130] 3DB5C42F str q15, [x1, #0xd710] 3DBBA20B str q11, [x16, #0xee80] 3DB90247 str q7, [x18, #0xe400] 3DB9CBC9 str q9, [x30, #0xe720] 3DA4FA6C str q12, [x19, #0x93e0] 3DA53B26 str q6, [x25, #0x94e0] 3D8CA972 str q18, [x11, #0x32a0] 3D828DC6 str q6, [x14, #0xa30] // STR_Q_ldst_regoff 00111100101xxxxxx1xx10xxxxxxxxxx 3CB6F8AF str q15, [x5, x22, sxtx #0x4] 3CB05A11 str q17, [x16, w16, uxtw #0x4] 3CB37A83 str q3, [x20, x19, lsl #0x4] 3CB4D923 str q3, [x9, w20, sxtw #0x4] 3CAA79C3 str q3, [x14, x10, lsl #0x4] 3CBD4815 str q21, [x0, w29, uxtw] 3CAC59F5 str q21, [x15, w12, uxtw #0x4] 3CA05A8E str q14, [x20, w0, uxtw #0x4] 3CB26BCA str q10, [x30, x18] 3CB1DAB8 str q24, [x21, w17, sxtw #0x4] 3CAE5906 str q6, [x8, w14, uxtw #0x4] 3CA95BD3 str q19, [x30, w9, uxtw #0x4] 3CA95BD6 str q22, [x30, w9, uxtw #0x4] 3CADFBCB str q11, [x30, x13, sxtx #0x4] 3CA3F86A str q10, [x3, x3, sxtx #0x4] 3CB97896 str q22, [x4, x25, lsl #0x4] // STR_S_ldst_immpost 10111100000xxxxxxxxx01xxxxxxxxxx BC16846F str s15, [x3], #-0x98 BC0F67C2 str s2, [x30], #0xf6 BC0AA58A str s10, [x12], #0xaa BC083443 str s3, [x2], #0x83 BC0D9409 str s9, [x0], #0xd9 BC14944F str s15, [x2], #-0xb7 BC1395E5 str s5, [x15], #-0xc7 BC1CA505 str s5, [x8], #-0x36 BC18D5DC str s28, [x14], #-0x73 BC0EC6D6 str s22, [x22], #0xec BC174573 str s19, [x11], #-0x8c BC159606 str s6, [x16], #-0xa7 BC0A555C str s28, [x10], #0xa5 BC069784 str s4, [x28], #0x69 BC0EF6E2 str s2, [x23], #0xef BC0F55A9 str s9, [x13], #0xf5 // STR_S_ldst_immpre 10111100000xxxxxxxxx11xxxxxxxxxx BC10DC76 str s22, [x3, #-0xf3]! BC113D6F str s15, [x11, #-0xed]! BC0FDF9D str s29, [x28, #0xfd]! BC05EF45 str s5, [x26, #0x5e]! BC0DBD1C str s28, [x8, #0xdb]! BC05FF1A str s26, [x24, #0x5f]! BC16CF19 str s25, [x24, #-0x94]! BC098EF0 str s16, [x23, #0x98]! BC18ECF3 str s19, [x7, #-0x72]! BC0A1CBB str s27, [x5, #0xa1]! BC160DF9 str s25, [x15, #-0xa0]! BC168F6C str s12, [x27, #-0x98]! BC111E79 str s25, [x19, #-0xef]! BC097DBB str s27, [x13, #0x97]! BC192D13 str s19, [x8, #-0x6e]! BC18AFA9 str s9, [x29, #-0x76]! // STR_S_ldst_pos 1011110100xxxxxxxxxxxxxxxxxxxxxx BD3800DA str s26, [x6, #0x3800] BD25ED62 str s2, [x11, #0x25ec] BD075CE6 str s6, [x7, #0x75c] BD12126B str s11, [x19, #0x1210] BD3244C1 str s1, [x6, #0x3244] BD11370D str s13, [x24, #0x1134] BD026923 str s3, [x9, #0x268] BD23C013 str s19, [x0, #0x23c0] BD159A29 str s9, [x17, #0x1598] BD119DEA str s10, [x15, #0x119c] BD3AC361 str s1, [x27, #0x3ac0] BD082867 str s7, [x3, #0x828] BD03426D str s13, [x19, #0x340] BD152C13 str s19, [x0, #0x152c] BD3211DC str s28, [x14, #0x3210] BD3BCA5F str s31, [x18, #0x3bc8] // STR_S_ldst_regoff 10111100001xxxxxx1xx10xxxxxxxxxx BC3E5BD5 str s21, [x30, w30, uxtw #0x2] BC31DAD4 str s20, [x22, w17, sxtw #0x2] BC2158AC str s12, [x5, w1, uxtw #0x2] BC3B7836 str s22, [x1, x27, lsl #0x2] BC364AFB str s27, [x23, w22, uxtw] BC30DA82 str s2, [x20, w16, sxtw #0x2] BC38DB66 str s6, [x27, w24, sxtw #0x2] BC2869A5 str s5, [x13, x8] BC2358D1 str s17, [x6, w3, uxtw #0x2] BC28C80B str s11, [x0, w8, sxtw] BC264A43 str s3, [x18, w6, uxtw] BC237900 str s0, [x8, x3, lsl #0x2] BC23F99B str s27, [x12, x3, sxtx #0x2] BC3579B4 str s20, [x13, x21, lsl #0x2] BC3EFB01 str s1, [x24, x30, sxtx #0x2] BC24C9A5 str s5, [x13, w4, sxtw] // STSETB_LDSETB_32_memop 00111000001xxxxx001100xxxxx11111 382630BF stsetb w6, [x5] 3832329F stsetb w18, [x20] 3823313F stsetb w3, [x9] 3821311F stsetb w1, [x8] 382C325F stsetb w12, [x18] 3835303F stsetb w21, [x1] 382930BF stsetb w9, [x5] 3834307F stsetb w20, [x3] 3832325F stsetb w18, [x18] 383930FF stsetb w25, [x7] 382A30BF stsetb w10, [x5] 382C311F stsetb w12, [x8] 382031FF stsetb w0, [x15] 382332BF stsetb w3, [x21] 383D317F stsetb w29, [x11] 3836325F stsetb w22, [x18] // STSETH_LDSETH_32_memop 01111000001xxxxx001100xxxxx11111 7830315F stseth w16, [x10] 7824327F stseth w4, [x19] 783131DF stseth w17, [x14] 7832309F stseth w18, [x4] 7838333F stseth w24, [x25] 7820313F stseth w0, [x9] 783E313F stseth w30, [x9] 783A327F stseth w26, [x19] 783730FF stseth w23, [x7] 7822323F stseth w2, [x17] 783733DF stseth w23, [x30] 783832FF stseth w24, [x23] 783530BF stseth w21, [x5] 7822307F stseth w2, [x3] 782A32DF stseth w10, [x22] 783030BF stseth w16, [x5] // STSETLB_LDSETLB_32_memop 00111000011xxxxx001100xxxxx11111 386531DF stsetlb w5, [x14] 3860307F stsetlb w0, [x3] 3865323F stsetlb w5, [x17] 386733FF stsetlb w7, [sp] 386B309F stsetlb w11, [x4] 387E30FF stsetlb w30, [x7] 387A31FF stsetlb w26, [x15] 387D30DF stsetlb w29, [x6] 386631BF stsetlb w6, [x13] 386E337F stsetlb w14, [x27] 3863303F stsetlb w3, [x1] 3873335F stsetlb w19, [x26] 387632BF stsetlb w22, [x21] 387432BF stsetlb w20, [x21] 387232FF stsetlb w18, [x23] 386C305F stsetlb w12, [x2] // STSETLH_LDSETLH_32_memop 01111000011xxxxx001100xxxxx11111 786A33BF stsetlh w10, [x29] 787730BF stsetlh w23, [x5] 787631BF stsetlh w22, [x13] 787333FF stsetlh w19, [sp] 787F30DF stsetlh wzr, [x6] 7874311F stsetlh w20, [x8] 7863339F stsetlh w3, [x28] 786C313F stsetlh w12, [x9] 786A303F stsetlh w10, [x1] 7873335F stsetlh w19, [x26] 787B31BF stsetlh w27, [x13] 7862319F stsetlh w2, [x12] 7865311F stsetlh w5, [x8] 787E30FF stsetlh w30, [x7] 786C33FF stsetlh w12, [sp] 787933FF stsetlh w25, [sp] // STSETL_LDSETL_32_memop 10111000011xxxxx001100xxxxx11111 B871329F stsetl w17, [x20] B867319F stsetl w7, [x12] B86B33FF stsetl w11, [sp] B863313F stsetl w3, [x9] B87D319F stsetl w29, [x12] B87C329F stsetl w28, [x20] B87D31BF stsetl w29, [x13] B87C311F stsetl w28, [x8] B86D309F stsetl w13, [x4] B87E303F stsetl w30, [x1] B87631DF stsetl w22, [x14] B87C31BF stsetl w28, [x13] B86B31BF stsetl w11, [x13] B875309F stsetl w21, [x4] B87631FF stsetl w22, [x15] B86E319F stsetl w14, [x12] // STSETL_LDSETL_64_memop 11111000011xxxxx001100xxxxx11111 F870315F stsetl x16, [x10] F872313F stsetl x18, [x9] F86A315F stsetl x10, [x10] F87D30DF stsetl x29, [x6] F86133FF stsetl x1, [sp] F87E30DF stsetl x30, [x6] F86732DF stsetl x7, [x22] F87733BF stsetl x23, [x29] F86832FF stsetl x8, [x23] F86930FF stsetl x9, [x7] F86532FF stsetl x5, [x23] F87A333F stsetl x26, [x25] F87B319F stsetl x27, [x12] F87C317F stsetl x28, [x11] F864315F stsetl x4, [x10] F878329F stsetl x24, [x20] // STSET_LDSET_32_memop 10111000001xxxxx001100xxxxx11111 B838337F stset w24, [x27] B83C315F stset w28, [x10] B822329F stset w2, [x20] B83D317F stset w29, [x11] B826339F stset w6, [x28] B820311F stset w0, [x8] B83F311F stset wzr, [x8] B827307F stset w7, [x3] B826307F stset w6, [x3] B83B335F stset w27, [x26] B83F317F stset wzr, [x11] B83E335F stset w30, [x26] B835305F stset w21, [x2] B830335F stset w16, [x26] B83B325F stset w27, [x18] B826303F stset w6, [x1] // STSET_LDSET_64_memop 11111000001xxxxx001100xxxxx11111 F82A33FF stset x10, [sp] F82C327F stset x12, [x19] F83D33DF stset x29, [x30] F82A331F stset x10, [x24] F834339F stset x20, [x28] F820333F stset x0, [x25] F83C317F stset x28, [x11] F837319F stset x23, [x12] F83131DF stset x17, [x14] F83933FF stset x25, [sp] F836335F stset x22, [x26] F82432FF stset x4, [x23] F831325F stset x17, [x18] F824335F stset x4, [x26] F82C33FF stset x12, [sp] F82A32FF stset x10, [x23] // STSMAXB_LDSMAXB_32_memop 00111000001xxxxx010000xxxxx11111 3835409F stsmaxb w21, [x4] 3831429F stsmaxb w17, [x20] 3822425F stsmaxb w2, [x18] 382D423F stsmaxb w13, [x17] 383F401F stsmaxb wzr, [x0] 382B401F stsmaxb w11, [x0] 3828423F stsmaxb w8, [x17] 383241BF stsmaxb w18, [x13] 383442BF stsmaxb w20, [x21] 383E431F stsmaxb w30, [x24] 382A433F stsmaxb w10, [x25] 383E407F stsmaxb w30, [x3] 3830433F stsmaxb w16, [x25] 382240DF stsmaxb w2, [x6] 3830435F stsmaxb w16, [x26] 3836401F stsmaxb w22, [x0] // STSMAXH_LDSMAXH_32_memop 01111000001xxxxx010000xxxxx11111 7822403F stsmaxh w2, [x1] 782C437F stsmaxh w12, [x27] 782B423F stsmaxh w11, [x17] 783942FF stsmaxh w25, [x23] 783B417F stsmaxh w27, [x11] 782A43BF stsmaxh w10, [x29] 782D43BF stsmaxh w13, [x29] 783F431F stsmaxh wzr, [x24] 7836431F stsmaxh w22, [x24] 782D411F stsmaxh w13, [x8] 783B41BF stsmaxh w27, [x13] 782F409F stsmaxh w15, [x4] 782543BF stsmaxh w5, [x29] 783D419F stsmaxh w29, [x12] 782F401F stsmaxh w15, [x0] 7825409F stsmaxh w5, [x4] // STSMAXLB_LDSMAXLB_32_memop 00111000011xxxxx010000xxxxx11111 386F433F stsmaxlb w15, [x25] 386E42BF stsmaxlb w14, [x21] 387A411F stsmaxlb w26, [x8] 387840FF stsmaxlb w24, [x7] 3868437F stsmaxlb w8, [x27] 3865403F stsmaxlb w5, [x1] 387543BF stsmaxlb w21, [x29] 387242FF stsmaxlb w18, [x23] 3872407F stsmaxlb w18, [x3] 386D42DF stsmaxlb w13, [x22] 386A427F stsmaxlb w10, [x19] 387843BF stsmaxlb w24, [x29] 386E41BF stsmaxlb w14, [x13] 387343FF stsmaxlb w19, [sp] 387442BF stsmaxlb w20, [x21] 386F407F stsmaxlb w15, [x3] // STSMAXLH_LDSMAXLH_32_memop 01111000011xxxxx010000xxxxx11111 7870425F stsmaxlh w16, [x18] 787942DF stsmaxlh w25, [x22] 786140BF stsmaxlh w1, [x5] 7867419F stsmaxlh w7, [x12] 786C407F stsmaxlh w12, [x3] 786C413F stsmaxlh w12, [x9] 7866415F stsmaxlh w6, [x10] 786F437F stsmaxlh w15, [x27] 786340DF stsmaxlh w3, [x6] 786243DF stsmaxlh w2, [x30] 7863431F stsmaxlh w3, [x24] 786E41FF stsmaxlh w14, [x15] 787340DF stsmaxlh w19, [x6] 787E41DF stsmaxlh w30, [x14] 786E417F stsmaxlh w14, [x11] 786F435F stsmaxlh w15, [x26] // STSMAXL_LDSMAXL_32_memop 10111000011xxxxx010000xxxxx11111 B87041DF stsmaxl w16, [x14] B87F407F stsmaxl wzr, [x3] B875411F stsmaxl w21, [x8] B879427F stsmaxl w25, [x19] B87D407F stsmaxl w29, [x3] B86F409F stsmaxl w15, [x4] B86B427F stsmaxl w11, [x19] B86341DF stsmaxl w3, [x14] B860413F stsmaxl w0, [x9] B864435F stsmaxl w4, [x26] B86E40FF stsmaxl w14, [x7] B86A41FF stsmaxl w10, [x15] B87D42FF stsmaxl w29, [x23] B87343BF stsmaxl w19, [x29] B87643FF stsmaxl w22, [sp] B877419F stsmaxl w23, [x12] // STSMAXL_LDSMAXL_64_memop 11111000011xxxxx010000xxxxx11111 F860437F stsmaxl x0, [x27] F867429F stsmaxl x7, [x20] F87142BF stsmaxl x17, [x21] F87E409F stsmaxl x30, [x4] F86E435F stsmaxl x14, [x26] F871423F stsmaxl x17, [x17] F872409F stsmaxl x18, [x4] F87640FF stsmaxl x22, [x7] F86041DF stsmaxl x0, [x14] F87543FF stsmaxl x21, [sp] F872411F stsmaxl x18, [x8] F87D415F stsmaxl x29, [x10] F87F415F stsmaxl xzr, [x10] F86941FF stsmaxl x9, [x15] F86143FF stsmaxl x1, [sp] F876423F stsmaxl x22, [x17] // STSMAX_LDSMAX_32_memop 10111000001xxxxx010000xxxxx11111 B826427F stsmax w6, [x19] B83F427F stsmax wzr, [x19] B826431F stsmax w6, [x24] B825409F stsmax w5, [x4] B82D403F stsmax w13, [x1] B83C409F stsmax w28, [x4] B833415F stsmax w19, [x10] B82041DF stsmax w0, [x14] B82C43BF stsmax w12, [x29] B829411F stsmax w9, [x8] B82942BF stsmax w9, [x21] B83041DF stsmax w16, [x14] B83A42BF stsmax w26, [x21] B825417F stsmax w5, [x11] B82C41FF stsmax w12, [x15] B82C409F stsmax w12, [x4] // STSMAX_LDSMAX_64_memop 11111000001xxxxx010000xxxxx11111 F82C409F stsmax x12, [x4] F820403F stsmax x0, [x1] F83341BF stsmax x19, [x13] F82D43DF stsmax x13, [x30] F82240BF stsmax x2, [x5] F83940FF stsmax x25, [x7] F83F42FF stsmax xzr, [x23] F82240FF stsmax x2, [x7] F82F401F stsmax x15, [x0] F82F439F stsmax x15, [x28] F82E421F stsmax x14, [x16] F82343FF stsmax x3, [sp] F83B40BF stsmax x27, [x5] F838423F stsmax x24, [x17] F83F411F stsmax xzr, [x8] F837407F stsmax x23, [x3] // STSMINB_LDSMINB_32_memop 00111000001xxxxx010100xxxxx11111 382D533F stsminb w13, [x25] 382B51DF stsminb w11, [x14] 382B531F stsminb w11, [x24] 3822505F stsminb w2, [x2] 383151FF stsminb w17, [x15] 3839527F stsminb w25, [x19] 383F51BF stsminb wzr, [x13] 383F529F stsminb wzr, [x20] 3821521F stsminb w1, [x16] 383451BF stsminb w20, [x13] 383852FF stsminb w24, [x23] 382452DF stsminb w4, [x22] 382E50BF stsminb w14, [x5] 382F51FF stsminb w15, [x15] 383A53BF stsminb w26, [x29] 382353FF stsminb w3, [sp] // STSMINH_LDSMINH_32_memop 01111000001xxxxx010100xxxxx11111 7834533F stsminh w20, [x25] 7831539F stsminh w17, [x28] 782B523F stsminh w11, [x17] 7824529F stsminh w4, [x20] 782852DF stsminh w8, [x22] 782E527F stsminh w14, [x19] 7833503F stsminh w19, [x1] 7828511F stsminh w8, [x8] 783E519F stsminh w30, [x12] 7836513F stsminh w22, [x9] 782652BF stsminh w6, [x21] 782A521F stsminh w10, [x16] 783A50FF stsminh w26, [x7] 7837531F stsminh w23, [x24] 782552DF stsminh w5, [x22] 782352BF stsminh w3, [x21] // STSMINLB_LDSMINLB_32_memop 00111000011xxxxx010100xxxxx11111 3869505F stsminlb w9, [x2] 3875527F stsminlb w21, [x19] 387452BF stsminlb w20, [x21] 3871505F stsminlb w17, [x2] 387E529F stsminlb w30, [x20] 386B50DF stsminlb w11, [x6] 386A535F stsminlb w10, [x26] 3867523F stsminlb w7, [x17] 387852FF stsminlb w24, [x23] 3862515F stsminlb w2, [x10] 386652FF stsminlb w6, [x23] 387B52DF stsminlb w27, [x22] 3872501F stsminlb w18, [x0] 3877507F stsminlb w23, [x3] 3870527F stsminlb w16, [x19] 3868533F stsminlb w8, [x25] // STSMINLH_LDSMINLH_32_memop 01111000011xxxxx010100xxxxx11111 786A527F stsminlh w10, [x19] 7862509F stsminlh w2, [x4] 787151BF stsminlh w17, [x13] 7864529F stsminlh w4, [x20] 786D519F stsminlh w13, [x12] 787A513F stsminlh w26, [x9] 787A52BF stsminlh w26, [x21] 787B507F stsminlh w27, [x3] 787053FF stsminlh w16, [sp] 7867511F stsminlh w7, [x8] 787A539F stsminlh w26, [x28] 7873535F stsminlh w19, [x26] 786B51FF stsminlh w11, [x15] 786252FF stsminlh w2, [x23] 787D537F stsminlh w29, [x27] 7875523F stsminlh w21, [x17] // STSMINL_LDSMINL_32_memop 10111000011xxxxx010100xxxxx11111 B86253FF stsminl w2, [sp] B86751DF stsminl w7, [x14] B86D50FF stsminl w13, [x7] B86350DF stsminl w3, [x6] B872517F stsminl w18, [x11] B86653DF stsminl w6, [x30] B86152FF stsminl w1, [x23] B87E535F stsminl w30, [x26] B865525F stsminl w5, [x18] B87B501F stsminl w27, [x0] B86F53BF stsminl w15, [x29] B866509F stsminl w6, [x4] B868523F stsminl w8, [x17] B875509F stsminl w21, [x4] B86E505F stsminl w14, [x2] B86053DF stsminl w0, [x30] // STSMINL_LDSMINL_64_memop 11111000011xxxxx010100xxxxx11111 F878519F stsminl x24, [x12] F86B517F stsminl x11, [x11] F86D50BF stsminl x13, [x5] F87752BF stsminl x23, [x21] F87D539F stsminl x29, [x28] F87C51BF stsminl x28, [x13] F864531F stsminl x4, [x24] F86053DF stsminl x0, [x30] F863517F stsminl x3, [x11] F867507F stsminl x7, [x3] F86252DF stsminl x2, [x22] F86852BF stsminl x8, [x21] F87F52FF stsminl xzr, [x23] F86152DF stsminl x1, [x22] F86E52DF stsminl x14, [x22] F866509F stsminl x6, [x4] // STSMIN_LDSMIN_32_memop 10111000001xxxxx010100xxxxx11111 B824515F stsmin w4, [x10] B83E53FF stsmin w30, [sp] B82453BF stsmin w4, [x29] B828519F stsmin w8, [x12] B83453FF stsmin w20, [sp] B83950FF stsmin w25, [x7] B83C529F stsmin w28, [x20] B82351DF stsmin w3, [x14] B83A52BF stsmin w26, [x21] B82453DF stsmin w4, [x30] B83D527F stsmin w29, [x19] B82850DF stsmin w8, [x6] B82850BF stsmin w8, [x5] B83B52FF stsmin w27, [x23] B83E521F stsmin w30, [x16] B820509F stsmin w0, [x4] // STSMIN_LDSMIN_64_memop 11111000001xxxxx010100xxxxx11111 F83A531F stsmin x26, [x24] F83950FF stsmin x25, [x7] F82C50BF stsmin x12, [x5] F82E523F stsmin x14, [x17] F82452BF stsmin x4, [x21] F832517F stsmin x18, [x11] F828515F stsmin x8, [x10] F82951DF stsmin x9, [x14] F83A50FF stsmin x26, [x7] F821529F stsmin x1, [x20] F830505F stsmin x16, [x2] F83D52FF stsmin x29, [x23] F83853DF stsmin x24, [x30] F822521F stsmin x2, [x16] F82951FF stsmin x9, [x15] F820515F stsmin x0, [x10] // STTRB_32_ldst_unpriv 00111000000xxxxxxxxx10xxxxxxxxxx 38105BB4 sttrb w20, [x29, #-0xfb] 38018B9C sttrb w28, [x28, #0x18] 3809EA7E sttrb w30, [x19, #0x9e] 38001946 sttrb w6, [x10, #0x1] 380B6883 sttrb w3, [x4, #0xb6] 381B38CD sttrb w13, [x6, #-0x4d] 38082B00 sttrb w0, [x24, #0x82] 38108AD3 sttrb w19, [x22, #-0xf8] 381E2A68 sttrb w8, [x19, #-0x1e] 380AB94A sttrb w10, [x10, #0xab] 3819EB97 sttrb w23, [x28, #-0x62] 380F9BF2 sttrb w18, [sp, #0xf9] 380D784C sttrb w12, [x2, #0xd7] 38061976 sttrb w22, [x11, #0x61] 380C0BCB sttrb w11, [x30, #0xc0] 380BE892 sttrb w18, [x4, #0xbe] // STTRH_32_ldst_unpriv 01111000000xxxxxxxxx10xxxxxxxxxx 780D6900 sttrh w0, [x8, #0xd6] 7819B8A7 sttrh w7, [x5, #-0x65] 781E3BC5 sttrh w5, [x30, #-0x1d] 780BEB80 sttrh w0, [x28, #0xbe] 780CC922 sttrh w2, [x9, #0xcc] 7816EAD5 sttrh w21, [x22, #-0x92] 78028989 sttrh w9, [x12, #0x28] 781F8B9E sttrh w30, [x28, #-0x8] 781E7BE6 sttrh w6, [sp, #-0x19] 780D684B sttrh w11, [x2, #0xd6] 7805FBDE sttrh w30, [x30, #0x5f] 78020B07 sttrh w7, [x24, #0x20] 7818E80E sttrh w14, [x0, #-0x72] 78082BB7 sttrh w23, [x29, #0x82] 780AE8F2 sttrh w18, [x7, #0xae] 7815F908 sttrh w8, [x8, #-0xa1] // STTR_32_ldst_unpriv 10111000000xxxxxxxxx10xxxxxxxxxx B80B3884 sttr w4, [x4, #0xb3] B80C1B01 sttr w1, [x24, #0xc1] B807FACB sttr w11, [x22, #0x7f] B80F5A73 sttr w19, [x19, #0xf5] B81C4B0F sttr w15, [x24, #-0x3c] B8004849 sttr w9, [x2, #0x4] B811D99A sttr w26, [x12, #-0xe3] B8169B4F sttr w15, [x26, #-0x97] B8145901 sttr w1, [x8, #-0xbb] B812A9D2 sttr w18, [x14, #-0xd6] B8156B89 sttr w9, [x28, #-0xaa] B81FAB70 sttr w16, [x27, #-0x6] B80DC85A sttr w26, [x2, #0xdc] B809288C sttr w12, [x4, #0x92] B8156881 sttr w1, [x4, #-0xaa] B8069898 sttr w24, [x4, #0x69] // STTR_64_ldst_unpriv 11111000000xxxxxxxxx10xxxxxxxxxx F80A59D1 sttr x17, [x14, #0xa5] F8061B5D sttr x29, [x26, #0x61] F800CA19 sttr x25, [x16, #0xc] F80B0A0B sttr x11, [x16, #0xb0] F81CEBB5 sttr x21, [x29, #-0x32] F8119A6E sttr x14, [x19, #-0xe7] F807BBC3 sttr x3, [x30, #0x7b] F8164BE7 sttr x7, [sp, #-0x9c] F80ABBBF sttr xzr, [x29, #0xab] F816F81E sttr x30, [x0, #-0x91] F803FBA7 sttr x7, [x29, #0x3f] F80C0BE3 sttr x3, [sp, #0xc0] F8045942 sttr x2, [x10, #0x45] F81A8AE3 sttr x3, [x23, #-0x58] F81A9BCD sttr x13, [x30, #-0x57] F80839E4 sttr x4, [x15, #0x83] // STUMAXB_LDUMAXB_32_memop 00111000001xxxxx011000xxxxx11111 3828609F stumaxb w8, [x4] 382463DF stumaxb w4, [x30] 383A627F stumaxb w26, [x19] 382562DF stumaxb w5, [x22] 3826625F stumaxb w6, [x18] 382663FF stumaxb w6, [sp] 3820605F stumaxb w0, [x2] 3823607F stumaxb w3, [x3] 3837633F stumaxb w23, [x25] 3839635F stumaxb w25, [x26] 383D619F stumaxb w29, [x12] 382160DF stumaxb w1, [x6] 383D63DF stumaxb w29, [x30] 382A625F stumaxb w10, [x18] 3829607F stumaxb w9, [x3] 382D603F stumaxb w13, [x1] // STUMAXH_LDUMAXH_32_memop 01111000001xxxxx011000xxxxx11111 783A63DF stumaxh w26, [x30] 783A625F stumaxh w26, [x18] 7822619F stumaxh w2, [x12] 782862BF stumaxh w8, [x21] 782E60BF stumaxh w14, [x5] 7826619F stumaxh w6, [x12] 783D60DF stumaxh w29, [x6] 7822613F stumaxh w2, [x9] 7830631F stumaxh w16, [x24] 783D625F stumaxh w29, [x18] 7823617F stumaxh w3, [x11] 7826627F stumaxh w6, [x19] 783360BF stumaxh w19, [x5] 7822603F stumaxh w2, [x1] 7820611F stumaxh w0, [x8] 7836629F stumaxh w22, [x20] // STUMAXLB_LDUMAXLB_32_memop 00111000011xxxxx011000xxxxx11111 3869629F stumaxlb w9, [x20] 3877619F stumaxlb w23, [x12] 386460BF stumaxlb w4, [x5] 386060FF stumaxlb w0, [x7] 387863DF stumaxlb w24, [x30] 3868627F stumaxlb w8, [x19] 387761BF stumaxlb w23, [x13] 387661FF stumaxlb w22, [x15] 3877629F stumaxlb w23, [x20] 3875613F stumaxlb w21, [x9] 387762BF stumaxlb w23, [x21] 3872625F stumaxlb w18, [x18] 3870607F stumaxlb w16, [x3] 3875621F stumaxlb w21, [x16] 3865619F stumaxlb w5, [x12] 3879625F stumaxlb w25, [x18] // STUMAXLH_LDUMAXLH_32_memop 01111000011xxxxx011000xxxxx11111 7868605F stumaxlh w8, [x2] 787E617F stumaxlh w30, [x11] 786B637F stumaxlh w11, [x27] 787C63BF stumaxlh w28, [x29] 787F63BF stumaxlh wzr, [x29] 786963BF stumaxlh w9, [x29] 7874639F stumaxlh w20, [x28] 7860633F stumaxlh w0, [x25] 787D63FF stumaxlh w29, [sp] 7864631F stumaxlh w4, [x24] 7860635F stumaxlh w0, [x26] 786561DF stumaxlh w5, [x14] 786162DF stumaxlh w1, [x22] 7862637F stumaxlh w2, [x27] 786362BF stumaxlh w3, [x21] 7871607F stumaxlh w17, [x3] // STUMAXL_LDUMAXL_32_memop 10111000011xxxxx011000xxxxx11111 B864631F stumaxl w4, [x24] B860613F stumaxl w0, [x9] B87E639F stumaxl w30, [x28] B87D607F stumaxl w29, [x3] B87E60DF stumaxl w30, [x6] B86861DF stumaxl w8, [x14] B863617F stumaxl w3, [x11] B87863DF stumaxl w24, [x30] B87362FF stumaxl w19, [x23] B86A629F stumaxl w10, [x20] B86661DF stumaxl w6, [x14] B87E607F stumaxl w30, [x3] B87261DF stumaxl w18, [x14] B86C601F stumaxl w12, [x0] B87D62FF stumaxl w29, [x23] B865615F stumaxl w5, [x10] // STUMAXL_LDUMAXL_64_memop 11111000011xxxxx011000xxxxx11111 F878639F stumaxl x24, [x28] F869617F stumaxl x9, [x11] F86C62DF stumaxl x12, [x22] F87161BF stumaxl x17, [x13] F87261DF stumaxl x18, [x14] F877619F stumaxl x23, [x12] F861603F stumaxl x1, [x1] F86F623F stumaxl x15, [x17] F870617F stumaxl x16, [x11] F87260DF stumaxl x18, [x6] F878621F stumaxl x24, [x16] F86C63BF stumaxl x12, [x29] F87F62FF stumaxl xzr, [x23] F870605F stumaxl x16, [x2] F87160FF stumaxl x17, [x7] F86D62FF stumaxl x13, [x23] // STUMAX_LDUMAX_32_memop 10111000001xxxxx011000xxxxx11111 B83A621F stumax w26, [x16] B82C617F stumax w12, [x11] B83563BF stumax w21, [x29] B83E60FF stumax w30, [x7] B824631F stumax w4, [x24] B82762BF stumax w7, [x21] B83061DF stumax w16, [x14] B82061DF stumax w0, [x14] B823621F stumax w3, [x16] B83462DF stumax w20, [x22] B82E62DF stumax w14, [x22] B83E603F stumax w30, [x1] B82161FF stumax w1, [x15] B83C62DF stumax w28, [x22] B829625F stumax w9, [x18] B82460FF stumax w4, [x7] // STUMAX_LDUMAX_64_memop 11111000001xxxxx011000xxxxx11111 F83B62BF stumax x27, [x21] F82563FF stumax x5, [sp] F82B635F stumax x11, [x26] F82F637F stumax x15, [x27] F82462BF stumax x4, [x21] F82460DF stumax x4, [x6] F82A61FF stumax x10, [x15] F83162DF stumax x17, [x22] F838613F stumax x24, [x9] F82761BF stumax x7, [x13] F83960BF stumax x25, [x5] F83A61FF stumax x26, [x15] F82363DF stumax x3, [x30] F83B63BF stumax x27, [x29] F82C611F stumax x12, [x8] F83063BF stumax x16, [x29] // STUMINB_LDUMINB_32_memop 00111000001xxxxx011100xxxxx11111 3821717F stuminb w1, [x11] 383D72FF stuminb w29, [x23] 383A713F stuminb w26, [x9] 383E73DF stuminb w30, [x30] 383B70DF stuminb w27, [x6] 383072FF stuminb w16, [x23] 3834713F stuminb w20, [x9] 383E71BF stuminb w30, [x13] 3826731F stuminb w6, [x24] 382A72BF stuminb w10, [x21] 382F72FF stuminb w15, [x23] 382671DF stuminb w6, [x14] 3838739F stuminb w24, [x28] 382F723F stuminb w15, [x17] 382870DF stuminb w8, [x6] 3820701F stuminb w0, [x0] // STUMINH_LDUMINH_32_memop 01111000001xxxxx011100xxxxx11111 7823705F stuminh w3, [x2] 782F72DF stuminh w15, [x22] 7830735F stuminh w16, [x26] 782B72FF stuminh w11, [x23] 7831711F stuminh w17, [x8] 7835737F stuminh w21, [x27] 7823707F stuminh w3, [x3] 7826739F stuminh w6, [x28] 7828709F stuminh w8, [x4] 783E739F stuminh w30, [x28] 7831717F stuminh w17, [x11] 783472FF stuminh w20, [x23] 782C71DF stuminh w12, [x14] 7831723F stuminh w17, [x17] 782972DF stuminh w9, [x22] 783C705F stuminh w28, [x2] // STUMINLB_LDUMINLB_32_memop 00111000011xxxxx011100xxxxx11111 386372FF stuminlb w3, [x23] 387E72FF stuminlb w30, [x23] 387370DF stuminlb w19, [x6] 386C71BF stuminlb w12, [x13] 387D705F stuminlb w29, [x2] 386D735F stuminlb w13, [x26] 387772BF stuminlb w23, [x21] 3866705F stuminlb w6, [x2] 3870703F stuminlb w16, [x1] 3867719F stuminlb w7, [x12] 3873713F stuminlb w19, [x9] 3875721F stuminlb w21, [x16] 386F71BF stuminlb w15, [x13] 386C719F stuminlb w12, [x12] 386C73BF stuminlb w12, [x29] 386B725F stuminlb w11, [x18] // STUMINLH_LDUMINLH_32_memop 01111000011xxxxx011100xxxxx11111 787271FF stuminlh w18, [x15] 7872701F stuminlh w18, [x0] 7871721F stuminlh w17, [x16] 7861721F stuminlh w1, [x16] 786C72BF stuminlh w12, [x21] 786E705F stuminlh w14, [x2] 7878701F stuminlh w24, [x0] 786771BF stuminlh w7, [x13] 787A70FF stuminlh w26, [x7] 786D733F stuminlh w13, [x25] 7876733F stuminlh w22, [x25] 7862707F stuminlh w2, [x3] 786A725F stuminlh w10, [x18] 787372BF stuminlh w19, [x21] 787C709F stuminlh w28, [x4] 787C733F stuminlh w28, [x25] // STUMINL_LDUMINL_32_memop 10111000011xxxxx011100xxxxx11111 B86B731F stuminl w11, [x24] B87F707F stuminl wzr, [x3] B87A701F stuminl w26, [x0] B867713F stuminl w7, [x9] B871707F stuminl w17, [x3] B865715F stuminl w5, [x10] B863739F stuminl w3, [x28] B86170BF stuminl w1, [x5] B87D72FF stuminl w29, [x23] B874733F stuminl w20, [x25] B87F721F stuminl wzr, [x16] B87B723F stuminl w27, [x17] B867739F stuminl w7, [x28] B860737F stuminl w0, [x27] B870707F stuminl w16, [x3] B86572DF stuminl w5, [x22] // STUMINL_LDUMINL_64_memop 11111000011xxxxx011100xxxxx11111 F862729F stuminl x2, [x20] F86E70DF stuminl x14, [x6] F87273BF stuminl x18, [x29] F87B711F stuminl x27, [x8] F869725F stuminl x9, [x18] F870701F stuminl x16, [x0] F87F719F stuminl xzr, [x12] F87673BF stuminl x22, [x29] F871701F stuminl x17, [x0] F860721F stuminl x0, [x16] F87F729F stuminl xzr, [x20] F87C71DF stuminl x28, [x14] F86370DF stuminl x3, [x6] F879709F stuminl x25, [x4] F86570DF stuminl x5, [x6] F873727F stuminl x19, [x19] // STUMIN_LDUMIN_32_memop 10111000001xxxxx011100xxxxx11111 B83C73DF stumin w28, [x30] B82372BF stumin w3, [x21] B825731F stumin w5, [x24] B82972DF stumin w9, [x22] B82871FF stumin w8, [x15] B83F73FF stumin wzr, [sp] B82E72BF stumin w14, [x21] B825711F stumin w5, [x8] B83B721F stumin w27, [x16] B82C725F stumin w12, [x18] B830731F stumin w16, [x24] B82A737F stumin w10, [x27] B837737F stumin w23, [x27] B83F70FF stumin wzr, [x7] B83D73DF stumin w29, [x30] B822725F stumin w2, [x18] // STUMIN_LDUMIN_64_memop 11111000001xxxxx011100xxxxx11111 F82272FF stumin x2, [x23] F820731F stumin x0, [x24] F82F713F stumin x15, [x9] F828731F stumin x8, [x24] F838713F stumin x24, [x9] F82C721F stumin x12, [x16] F837713F stumin x23, [x9] F832709F stumin x18, [x4] F823725F stumin x3, [x18] F82370DF stumin x3, [x6] F82072BF stumin x0, [x21] F82F71FF stumin x15, [x15] F838723F stumin x24, [x17] F82D73DF stumin x13, [x30] F83570DF stumin x21, [x6] F826723F stumin x6, [x17] // STURB_32_ldst_unscaled 00111000000xxxxxxxxx00xxxxxxxxxx 380A5018 sturb w24, [x0, #0xa5] 38128209 sturb w9, [x16, #-0xd8] 3817A073 sturb w19, [x3, #-0x86] 381440B3 sturb w19, [x5, #-0xbc] 3805130E sturb w14, [x24, #0x51] 380320C8 sturb w8, [x6, #0x32] 380AA0E2 sturb w2, [x7, #0xaa] 381F50CC sturb w12, [x6, #-0xb] 381B91E3 sturb w3, [x15, #-0x47] 3809B11C sturb w28, [x8, #0x9b] 3805E2B2 sturb w18, [x21, #0x5e] 3801315C sturb w28, [x10, #0x13] 38120364 sturb w4, [x27, #-0xe0] 3810B1E4 sturb w4, [x15, #-0xf5] 380ED003 sturb w3, [x0, #0xed] 3809936F sturb w15, [x27, #0x99] // STURH_32_ldst_unscaled 01111000000xxxxxxxxx00xxxxxxxxxx 781D633A sturh w26, [x25, #-0x2a] 7818501F sturh wzr, [x0, #-0x7b] 7817B340 sturh w0, [x26, #-0x85] 78038247 sturh w7, [x18, #0x38] 78175118 sturh w24, [x8, #-0x8b] 780E8201 sturh w1, [x16, #0xe8] 781480D8 sturh w24, [x6, #-0xb8] 7814F362 sturh w2, [x27, #-0xb1] 780FE3DB sturh w27, [x30, #0xfe] 7808F22A sturh w10, [x17, #0x8f] 780D40AA sturh w10, [x5, #0xd4] 7812A0A3 sturh w3, [x5, #-0xd6] 780461F4 sturh w20, [x15, #0x46] 781F53D0 sturh w16, [x30, #-0xb] 781C502E sturh w14, [x1, #-0x3b] 7803B2D9 sturh w25, [x22, #0x3b] // STUR_32_ldst_unscaled 10111000000xxxxxxxxx00xxxxxxxxxx B815017B stur w27, [x11, #-0xb0] B81391C6 stur w6, [x14, #-0xc7] B803D0C0 stur w0, [x6, #0x3d] B81422ED stur w13, [x23, #-0xbe] B81C131A stur w26, [x24, #-0x3f] B806913F stur wzr, [x9, #0x69] B814D184 stur w4, [x12, #-0xb3] B80A51C8 stur w8, [x14, #0xa5] B801E3BB stur w27, [x29, #0x1e] B8048053 stur w19, [x2, #0x48] B8109347 stur w7, [x26, #-0xf7] B805626B stur w11, [x19, #0x56] B815F2E2 stur w2, [x23, #-0xa1] B80FD153 stur w19, [x10, #0xfd] B81123E5 stur w5, [sp, #-0xee] B806E25A stur w26, [x18, #0x6e] // STUR_64_ldst_unscaled 11111000000xxxxxxxxx00xxxxxxxxxx F815D085 stur x5, [x4, #-0xa3] F80D5144 stur x4, [x10, #0xd5] F8005323 stur x3, [x25, #0x5] F801618B stur x11, [x12, #0x16] F81C0167 stur x7, [x11, #-0x40] F8051214 stur x20, [x16, #0x51] F8078333 stur x19, [x25, #0x78] F803C177 stur x23, [x11, #0x3c] F81862D5 stur x21, [x22, #-0x7a] F80221FF stur xzr, [x15, #0x22] F801107F stur xzr, [x3, #0x11] F8061095 stur x21, [x4, #0x61] F808138B stur x11, [x28, #0x81] F80F12B5 stur x21, [x21, #0xf1] F81922D1 stur x17, [x22, #-0x6e] F807A24F stur x15, [x18, #0x7a] // STUR_B_ldst_unscaled 00111100000xxxxxxxxx00xxxxxxxxxx 3C18D1D9 stur b25, [x14, #-0x73] 3C1253EF stur b15, [sp, #-0xdb] 3C065197 stur b23, [x12, #0x65] 3C175077 stur b23, [x3, #-0x8b] 3C033260 stur b0, [x19, #0x33] 3C03739A stur b26, [x28, #0x37] 3C1FA212 stur b18, [x16, #-0x6] 3C0DA0B6 stur b22, [x5, #0xda] 3C08810B stur b11, [x8, #0x88] 3C01B3F7 stur b23, [sp, #0x1b] 3C03F0A8 stur b8, [x5, #0x3f] 3C15205B stur b27, [x2, #-0xae] 3C14F325 stur b5, [x25, #-0xb1] 3C08131D stur b29, [x24, #0x81] 3C10B19C stur b28, [x12, #-0xf5] 3C07B033 stur b19, [x1, #0x7b] // STUR_D_ldst_unscaled 11111100000xxxxxxxxx00xxxxxxxxxx FC1502D8 stur d24, [x22, #-0xb0] FC0FE201 stur d1, [x16, #0xfe] FC14A28E stur d14, [x20, #-0xb6] FC04F272 stur d18, [x19, #0x4f] FC1AD02D stur d13, [x1, #-0x53] FC1DE3BB stur d27, [x29, #-0x22] FC0412F3 stur d19, [x23, #0x41] FC1782F9 stur d25, [x23, #-0x88] FC1102C2 stur d2, [x22, #-0xf0] FC03526F stur d15, [x19, #0x35] FC10623A stur d26, [x17, #-0xfa] FC17C2D6 stur d22, [x22, #-0x84] FC1FE137 stur d23, [x9, #-0x2] FC16008E stur d14, [x4, #-0xa0] FC06B00E stur d14, [x0, #0x6b] FC17434F stur d15, [x26, #-0x8c] // STUR_H_ldst_unscaled 01111100000xxxxxxxxx00xxxxxxxxxx 7C0CE1EF stur h15, [x15, #0xce] 7C019168 stur h8, [x11, #0x19] 7C16B392 stur h18, [x28, #-0x95] 7C02A38C stur h12, [x28, #0x2a] 7C13C247 stur h7, [x18, #-0xc4] 7C0EF153 stur h19, [x10, #0xef] 7C1692FA stur h26, [x23, #-0x97] 7C0BC310 stur h16, [x24, #0xbc] 7C1F21E5 stur h5, [x15, #-0xe] 7C17C28B stur h11, [x20, #-0x84] 7C0B1241 stur h1, [x18, #0xb1] 7C1ED30E stur h14, [x24, #-0x13] 7C123325 stur h5, [x25, #-0xdd] 7C0920C2 stur h2, [x6, #0x92] 7C1E03CE stur h14, [x30, #-0x20] 7C17209B stur h27, [x4, #-0x8e] // STUR_Q_ldst_unscaled 00111100100xxxxxxxxx00xxxxxxxxxx 3C97F2B2 stur q18, [x21, #-0x81] 3C8702BC stur q28, [x21, #0x70] 3C9473A5 stur q5, [x29, #-0xb9] 3C8882A4 stur q4, [x21, #0x88] 3C8CB15E stur q30, [x10, #0xcb] 3C8742CB stur q11, [x22, #0x74] 3C94B12B stur q11, [x9, #-0xb5] 3C8A716B stur q11, [x11, #0xa7] 3C898271 stur q17, [x19, #0x98] 3C8E11D7 stur q23, [x14, #0xe1] 3C8090FF stur q31, [x7, #0x9] 3C9F12E1 stur q1, [x23, #-0xf] 3C92E277 stur q23, [x19, #-0xd2] 3C9FA02F stur q15, [x1, #-0x6] 3C8E335F stur q31, [x26, #0xe3] 3C9F22E0 stur q0, [x23, #-0xe] // STUR_S_ldst_unscaled 10111100000xxxxxxxxx00xxxxxxxxxx BC1D20AB stur s11, [x5, #-0x2e] BC046221 stur s1, [x17, #0x46] BC142061 stur s1, [x3, #-0xbe] BC0A2021 stur s1, [x1, #0xa2] BC1AA207 stur s7, [x16, #-0x56] BC0943C5 stur s5, [x30, #0x94] BC0DF0E6 stur s6, [x7, #0xdf] BC1F8071 stur s17, [x3, #-0x8] BC1D11BD stur s29, [x13, #-0x2f] BC134356 stur s22, [x26, #-0xcc] BC1990DC stur s28, [x6, #-0x67] BC038205 stur s5, [x16, #0x38] BC1FD3AC stur s12, [x29, #-0x3] BC14520E stur s14, [x16, #-0xbb] BC1632AA stur s10, [x21, #-0x9d] BC08B139 stur s25, [x9, #0x8b] // STXP_SP32_ldstexcl 10001000001xxxxx0xxxxxxxxxxxxxxx 88391A79 stxp w25, w25, w6, [x19] 882379E1 stxp w3, w1, w30, [x15] 8836648F stxp w22, w15, w25, [x4] 883F4CCA stxp wzr, w10, w19, [x6] 882A63FE stxp w10, w30, w24, [sp] 88385BF9 stxp w24, w25, w22, [sp] 882578E2 stxp w5, w2, w30, [x7] 883E0811 stxp w30, w17, w2, [x0] 882877A2 stxp w8, w2, w29, [x29] 88313B66 stxp w17, w6, w14, [x27] 883E3F3B stxp w30, w27, w15, [x25] 88337294 stxp w19, w20, w28, [x20] 883F747B stxp wzr, w27, w29, [x3] 88296A72 stxp w9, w18, w26, [x19] 88250709 stxp w5, w9, w1, [x24] 883E2E0F stxp w30, w15, w11, [x16] // STXP_SP64_ldstexcl 11001000001xxxxx0xxxxxxxxxxxxxxx C82E295F stxp w14, xzr, x10, [x10] C83E6317 stxp w30, x23, x24, [x24] C83C3FCE stxp w28, x14, x15, [x30] C82705F6 stxp w7, x22, x1, [x15] C82E4FE5 stxp w14, x5, x19, [sp] C8336D19 stxp w19, x25, x27, [x8] C82A5C1D stxp w10, x29, x23, [x0] C8275581 stxp w7, x1, x21, [x12] C82A6CAC stxp w10, x12, x27, [x5] C83744F0 stxp w23, x16, x17, [x7] C82634A1 stxp w6, x1, x13, [x5] C8350030 stxp w21, x16, x0, [x1] C8354457 stxp w21, x23, x17, [x2] C8284BC2 stxp w8, x2, x18, [x30] C83F367C stxp wzr, x28, x13, [x19] C8204823 stxp w0, x3, x18, [x1] // STXRB_SR32_ldstexcl 00001000000xxxxx0xxxxxxxxxxxxxxx 08073002 stxrb w7, w2, [x0] 080F2FC6 stxrb w15, w6, [x30] 08007439 stxrb w0, w25, [x1] 08145BF5 stxrb w20, w21, [sp] 081C7CD6 stxrb w28, w22, [x6] 081F6CE9 stxrb wzr, w9, [x7] 080A227C stxrb w10, w28, [x19] 080A4B18 stxrb w10, w24, [x24] 081C1053 stxrb w28, w19, [x2] 080C4744 stxrb w12, w4, [x26] 080D0A54 stxrb w13, w20, [x18] 08177EA1 stxrb w23, w1, [x21] 080B59DB stxrb w11, w27, [x14] 080B6F13 stxrb w11, w19, [x24] 08073218 stxrb w7, w24, [x16] 0819176F stxrb w25, w15, [x27] // STXRH_SR32_ldstexcl 01001000000xxxxx0xxxxxxxxxxxxxxx 48170CE6 stxrh w23, w6, [x7] 480755AC stxrh w7, w12, [x13] 481C2C3F stxrh w28, wzr, [x1] 48114FA1 stxrh w17, w1, [x29] 48130AE5 stxrh w19, w5, [x23] 48121DD9 stxrh w18, w25, [x14] 4809430E stxrh w9, w14, [x24] 48003B7E stxrh w0, w30, [x27] 4803125F stxrh w3, wzr, [x18] 4813761E stxrh w19, w30, [x16] 480D7433 stxrh w13, w19, [x1] 481F31EB stxrh wzr, w11, [x15] 48024858 stxrh w2, w24, [x2] 481E6885 stxrh w30, w5, [x4] 48007BEF stxrh w0, w15, [sp] 480D0DFD stxrh w13, w29, [x15] // STXR_SR32_ldstexcl 10001000000xxxxx0xxxxxxxxxxxxxxx 8814198B stxr w20, w11, [x12] 88095D63 stxr w9, w3, [x11] 88011154 stxr w1, w20, [x10] 88024063 stxr w2, w3, [x3] 88062912 stxr w6, w18, [x8] 88186998 stxr w24, w24, [x12] 881B14A0 stxr w27, w0, [x5] 880B26DF stxr w11, wzr, [x22] 880A0E66 stxr w10, w6, [x19] 880B53CF stxr w11, w15, [x30] 880E49EA stxr w14, w10, [x15] 880472EC stxr w4, w12, [x23] 880B08BC stxr w11, w28, [x5] 880A6FCD stxr w10, w13, [x30] 880120F5 stxr w1, w21, [x7] 8811137F stxr w17, wzr, [x27] // STXR_SR64_ldstexcl 11001000000xxxxx0xxxxxxxxxxxxxxx C806455E stxr w6, x30, [x10] C816760A stxr w22, x10, [x16] C80D4326 stxr w13, x6, [x25] C8197BFE stxr w25, x30, [sp] C8120C9E stxr w18, x30, [x4] C807461E stxr w7, x30, [x16] C809763A stxr w9, x26, [x17] C81D0950 stxr w29, x16, [x10] C81E27A8 stxr w30, x8, [x29] C81808ED stxr w24, x13, [x7] C810441A stxr w16, x26, [x0] C80B2549 stxr w11, x9, [x10] C803066B stxr w3, x11, [x19] C8064C74 stxr w6, x20, [x3] C81E386E stxr w30, x14, [x3] C80863A1 stxr w8, x1, [x29] // STZ2G_64Soffset_ldsttags 11011001111xxxxxxxxx10xxxxxxxxxx D9EF79A4 stz2g x4, [x13, #0xf70] D9E87BD4 stz2g x20, [x30, #0x870] D9E9AB99 stz2g x25, [x28, #0x9a0] D9FB1932 stz2g x18, [x9, #-0x4f0] D9E66AAE stz2g x14, [x21, #0x660] D9F688B2 stz2g x18, [x5, #-0x980] D9F42BB0 stz2g x16, [x29, #-0xbe0] D9F0587D stz2g x29, [x3, #-0xfb0] D9F1B9C9 stz2g x9, [x14, #-0xe50] D9F05BDE stz2g x30, [x30, #-0xfb0] D9FBBB21 stz2g x1, [x25, #-0x450] D9FDFAAC stz2g x12, [x21, #-0x210] D9F12AC2 stz2g x2, [x22, #-0xee0] D9F5EA78 stz2g x24, [x19, #-0xa20] D9F269F7 stz2g x23, [x15, #-0xda0] D9FC1A91 stz2g x17, [x20, #-0x3f0] // STZ2G_64Spost_ldsttags 11011001111xxxxxxxxx01xxxxxxxxxx D9EB345A stz2g x26, [x2], #0xb30 D9FED547 stz2g x7, [x10], #-0x130 D9F9A69A stz2g x26, [x20], #-0x660 D9EA0447 stz2g x7, [x2], #0xa00 D9E02795 stz2g x21, [x28], #0x20 D9E80676 stz2g x22, [x19], #0x800 D9F13783 stz2g x3, [x28], #-0xed0 D9F83771 stz2g x17, [x27], #-0x7d0 D9E987D3 stz2g x19, [x30], #0x980 D9EE9516 stz2g x22, [x8], #0xe90 D9E3B47C stz2g x28, [x3], #0x3b0 D9FF46BC stz2g x28, [x21], #-0xc0 D9F59536 stz2g x22, [x9], #-0xa70 D9F767B7 stz2g x23, [x29], #-0x8a0 D9E40650 stz2g x16, [x18], #0x400 D9E4A50F stz2g x15, [x8], #0x4a0 // STZ2G_64Spre_ldsttags 11011001111xxxxxxxxx11xxxxxxxxxx D9E3FC1E stz2g x30, [x0, #0x3f0]! D9EE1D09 stz2g x9, [x8, #0xe10]! D9FA4FCB stz2g x11, [x30, #-0x5c0]! D9FCBC22 stz2g x2, [x1, #-0x350]! D9E59F16 stz2g x22, [x24, #0x590]! D9F78D5F stz2g sp, [x10, #-0x880]! D9E18CF6 stz2g x22, [x7, #0x180]! D9E29F91 stz2g x17, [x28, #0x290]! D9E59E65 stz2g x5, [x19, #0x590]! D9EAFF44 stz2g x4, [x26, #0xaf0]! D9F43F7E stz2g x30, [x27, #-0xbd0]! D9E19E71 stz2g x17, [x19, #0x190]! D9E3FD9C stz2g x28, [x12, #0x3f0]! D9FDCF30 stz2g x16, [x25, #-0x240]! D9FD4E11 stz2g x17, [x16, #-0x2c0]! D9F7FF62 stz2g x2, [x27, #-0x810]! // STZGM_64bulk_ldsttags 1101100100100000000000xxxxxxxxxx D92003C5 stzgm x5, [x30] D920039D stzgm x29, [x28] D92000FC stzgm x28, [x7] D920038A stzgm x10, [x28] D920016B stzgm x11, [x11] D92002D1 stzgm x17, [x22] D9200065 stzgm x5, [x3] D92003B0 stzgm x16, [x29] D92002E6 stzgm x6, [x23] D920027A stzgm x26, [x19] D9200337 stzgm x23, [x25] D9200002 stzgm x2, [x0] D92003AB stzgm x11, [x29] D9200232 stzgm x18, [x17] D920013F stzgm xzr, [x9] D9200379 stzgm x25, [x27] // STZG_64Soffset_ldsttags 11011001011xxxxxxxxx10xxxxxxxxxx D974691C stzg x28, [x8, #-0xba0] D97F2B0B stzg x11, [x24, #-0xe0] D9730AB7 stzg x23, [x21, #-0xd00] D9722A2C stzg x12, [x17, #-0xde0] D971FB4B stzg x11, [x26, #-0xe10] D969D827 stzg x7, [x1, #0x9d0] D97C1ABF stzg sp, [x21, #-0x3f0] D974AB63 stzg x3, [x27, #-0xb60] D97C4903 stzg x3, [x8, #-0x3c0] D970988E stzg x14, [x4, #-0xf70] D97D784A stzg x10, [x2, #-0x290] D9735B10 stzg x16, [x24, #-0xcb0] D96819E1 stzg x1, [x15, #0x810] D96CEAC5 stzg x5, [x22, #0xce0] D9742B2E stzg x14, [x25, #-0xbe0] D968AA7E stzg x30, [x19, #0x8a0] // STZG_64Spost_ldsttags 11011001011xxxxxxxxx01xxxxxxxxxx D97825B5 stzg x21, [x13], #-0x7e0 D97F67EF stzg x15, [sp], #-0xa0 D970971F stzg sp, [x24], #-0xf70 D97B2506 stzg x6, [x8], #-0x4e0 D96526A8 stzg x8, [x21], #0x520 D96F67C5 stzg x5, [x30], #0xf60 D97115B1 stzg x17, [x13], #-0xef0 D96A07C1 stzg x1, [x30], #0xa00 D96FA62D stzg x13, [x17], #0xfa0 D97C469D stzg x29, [x20], #-0x3c0 D96E2549 stzg x9, [x10], #0xe20 D96FE5EA stzg x10, [x15], #0xfe0 D979F739 stzg x25, [x25], #-0x610 D97A7484 stzg x4, [x4], #-0x590 D9698751 stzg x17, [x26], #0x980 D97E1690 stzg x16, [x20], #-0x1f0 // STZG_64Spre_ldsttags 11011001011xxxxxxxxx11xxxxxxxxxx D96D3E90 stzg x16, [x20, #0xd30]! D97ECC6E stzg x14, [x3, #-0x140]! D97D3DBC stzg x28, [x13, #-0x2d0]! D971EC44 stzg x4, [x2, #-0xe20]! D967AEB6 stzg x22, [x21, #0x7a0]! D96E0D2D stzg x13, [x9, #0xe00]! D967EC66 stzg x6, [x3, #0x7e0]! D9604F46 stzg x6, [x26, #0x40]! D96DAD06 stzg x6, [x8, #0xda0]! D96D2C6C stzg x12, [x3, #0xd20]! D9724F58 stzg x24, [x26, #-0xdc0]! D9758F5C stzg x28, [x26, #-0xa80]! D9612EFB stzg x27, [x23, #0x120]! D97F4F34 stzg x20, [x25, #-0xc0]! D97D3D47 stzg x7, [x10, #-0x2d0]! D96ECFC7 stzg x7, [x30, #0xec0]! // SUBG_64_addsub_immtags 1101000110xxxxxxxxxxxxxxxxxxxxxx D1B51BCA subg x10, x30, #0x350, #0x6 D1A119EF subg x15, x15, #0x210, #0x6 D1B027B0 subg x16, x29, #0x300, #0x9 D1B53628 subg x8, x17, #0x350, #0xd D1AD075B subg x27, x26, #0x2d0, #0x1 D1BD0186 subg x6, x12, #0x3d0, #0x0 D1B41AA1 subg x1, x21, #0x340, #0x6 D1B40690 subg x16, x20, #0x340, #0x1 D1B227C2 subg x2, x30, #0x320, #0x9 D18C3CB6 subg x22, x5, #0xc0, #0xf D18A3EB3 subg x19, x21, #0xa0, #0xf D1A11149 subg x9, x10, #0x210, #0x4 D1852D5E subg x30, x10, #0x50, #0xb D1A31785 subg x5, x28, #0x230, #0x5 D19B2C0F subg x15, x0, #0x1b0, #0xb D181221F subg sp, x16, #0x10, #0x8 // SUBHN_asimddiff_N 0x001110xx1xxxxx011000xxxxxxxxxx 0E236382 subhn v2.8b, v28.8h, v3.8h 4E2263F8 subhn2 v24.16b, v31.8h, v2.8h 0E776245 subhn v5.4h, v18.4s, v23.4s 0EB061E7 subhn v7.2s, v15.2d, v16.2d 0EB462D7 subhn v23.2s, v22.2d, v20.2d 4E3661A7 subhn2 v7.16b, v13.8h, v22.8h 4E3C63E8 subhn2 v8.16b, v31.8h, v28.8h 4E666292 subhn2 v18.8h, v20.4s, v6.4s 0E3F6078 subhn v24.8b, v3.8h, v31.8h 4E7962F7 subhn2 v23.8h, v23.4s, v25.4s 0E7A6059 subhn v25.4h, v2.4s, v26.4s 4EA4637D subhn2 v29.4s, v27.2d, v4.2d 0E626272 subhn v18.4h, v19.4s, v2.4s 0E6B611F subhn v31.4h, v8.4s, v11.4s 0EB6615B subhn v27.2s, v10.2d, v22.2d 0EB461D8 subhn v24.2s, v14.2d, v20.2d // SUBPS_64S_dp_2src 10111010110xxxxx000000xxxxxxxxxx BAD601FA subps x26, x15, x22 BAD102FD subps x29, x23, x17 BAC202CB subps x11, x22, x2 BAD40054 subps x20, x2, x20 BADF02E4 subps x4, x23, sp BACA02FC subps x28, x23, x10 BAD500B9 subps x25, x5, x21 BAC30084 subps x4, x4, x3 BAC50032 subps x18, x1, x5 BACD0187 subps x7, x12, x13 BAD303FA subps x26, sp, x19 BAD101A6 subps x6, x13, x17 BAC60137 subps x23, x9, x6 BAC403F9 subps x25, sp, x4 BAC101C5 subps x5, x14, x1 BAC00399 subps x25, x28, x0 // SUBP_64S_dp_2src 10011010110xxxxx000000xxxxxxxxxx 9ADB004D subp x13, x2, x27 9ADC0375 subp x21, x27, x28 9AC90232 subp x18, x17, x9 9ADA01F3 subp x19, x15, x26 9AC301C1 subp x1, x14, x3 9AD802E5 subp x5, x23, x24 9AD101D7 subp x23, x14, x17 9ADA006D subp x13, x3, x26 9AD701E3 subp x3, x15, x23 9ACD02D5 subp x21, x22, x13 9ADC0168 subp x8, x11, x28 9AC80184 subp x4, x12, x8 9ACE0135 subp x21, x9, x14 9AD8021F subp xzr, x16, x24 9ADE010B subp x11, x8, x30 9AC70042 subp x2, x2, x7 // SUBS_32S_addsub_ext 01101011001xxxxxxxxxxxxxxxxxxxxx 6B2D4738 subs w24, w25, w13, uxtw #0x1 6B2A688B subs w11, w4, w10, uxtx #0x2 6B334D76 subs w22, w11, w19, uxtw #0x3 6B3269BD subs w29, w13, w18, uxtx #0x2 6B3771CD subs w13, w14, w23, uxtx #0x4 6B3F2330 subs w16, w25, wzr, uxth 6B320809 subs w9, w0, w18, uxtb #0x2 6B3B28F7 subs w23, w7, w27, uxth #0x2 6B246AE4 subs w4, w23, w4, uxtx #0x2 6B25B256 subs w22, w18, w5, sxth #0x4 6B200E77 subs w23, w19, w0, uxtb #0x3 6B3BC1A2 subs w2, w13, w27, sxtw 6B2A23FD subs w29, wsp, w10, uxth 6B22ECBC subs w28, w5, w2, sxtx #0x3 6B2A2FD0 subs w16, w30, w10, uxth #0x3 6B33B0F8 subs w24, w7, w19, sxth #0x4 // SUBS_32S_addsub_imm 011100010xxxxxxxxxxxxxxxxxxxxxxx 715F8179 subs w25, w11, #0x7e0, lsl #0xc 71011327 subs w7, w25, #0x44 71515C35 subs w21, w1, #0x457, lsl #0xc 7127229D subs w29, w20, #0x9c8 715D52D7 subs w23, w22, #0x754, lsl #0xc 71454566 subs w6, w11, #0x151, lsl #0xc 71093C35 subs w21, w1, #0x24f 7132FC35 subs w21, w1, #0xcbf 714BF081 subs w1, w4, #0x2fc, lsl #0xc 711FA7CE subs w14, w30, #0x7e9 711C2D5E subs w30, w10, #0x70b 7158AC00 subs w0, w0, #0x62b, lsl #0xc 71642059 subs w25, w2, #0x908, lsl #0xc 716EDBB2 subs w18, w29, #0xbb6, lsl #0xc 71068C66 subs w6, w3, #0x1a3 717EEBCA subs w10, w30, #0xfba, lsl #0xc // SUBS_32_addsub_shift 01101011xx0xxxxx0xxxxxxxxxxxxxxx 6B025127 subs w7, w9, w2, lsl #0x14 6B851A8B subs w11, w20, w5, asr #0x6 6B9811F5 subs w21, w15, w24, asr #0x4 6B585587 subs w7, w12, w24, lsr #0x15 6B0939E1 subs w1, w15, w9, lsl #0xe 6B4E495B subs w27, w10, w14, lsr #0x12 6B810EA9 subs w9, w21, w1, asr #0x3 6B122795 subs w21, w28, w18, lsl #0x9 6B891D63 subs w3, w11, w9, asr #0x7 6B5924E5 subs w5, w7, w25, lsr #0x9 6B557D6E subs w14, w11, w21, lsr #0x1f 6B1E2B83 subs w3, w28, w30, lsl #0xa 6B1F7406 subs w6, w0, wzr, lsl #0x1d 6B9B5EE2 subs w2, w23, w27, asr #0x17 6B9A1BC5 subs w5, w30, w26, asr #0x6 6B0B7AA9 subs w9, w21, w11, lsl #0x1e // SUBS_64S_addsub_ext 11101011001xxxxxxxxxxxxxxxxxxxxx EB35E767 subs x7, x27, x21, sxtx #0x1 EB36035B subs x27, x26, w22, uxtb EB2D8247 subs x7, x18, w13, sxtb EB300CF3 subs x19, x7, w16, uxtb #0x3 EB2A6873 subs x19, x3, x10, uxtx #0x2 EB270335 subs x21, x25, w7, uxtb EB2E0270 subs x16, x19, w14, uxtb EB3668DC subs x28, x6, x22, uxtx #0x2 EB22B07E subs x30, x3, w2, sxth #0x4 EB3C70A8 subs x8, x5, x28, uxtx #0x4 EB337170 subs x16, x11, x19, uxtx #0x4 EB34A162 subs x2, x11, w20, sxth EB36C8B3 subs x19, x5, w22, sxtw #0x2 EB3527D1 subs x17, x30, w21, uxth #0x1 EB3DD2EF subs x15, x23, w29, sxtw #0x4 EB3A482F subs x15, x1, w26, uxtw #0x2 // SUBS_64S_addsub_imm 111100010xxxxxxxxxxxxxxxxxxxxxxx F1664CC8 subs x8, x6, #0x993, lsl #0xc F16F4099 subs x25, x4, #0xbd0, lsl #0xc F14776F5 subs x21, x23, #0x1dd, lsl #0xc F141E237 subs x23, x17, #0x78, lsl #0xc F16DD740 subs x0, x26, #0xb75, lsl #0xc F14244CD subs x13, x6, #0x91, lsl #0xc F1152AE8 subs x8, x23, #0x54a F116E198 subs x24, x12, #0x5b8 F16E6566 subs x6, x11, #0xb99, lsl #0xc F103B70F subs x15, x24, #0xed F13825BC subs x28, x13, #0xe09 F1288E94 subs x20, x20, #0xa23 F1483D3D subs x29, x9, #0x20f, lsl #0xc F11527EA subs x10, sp, #0x549 F14AF3C7 subs x7, x30, #0x2bc, lsl #0xc F15D78D1 subs x17, x6, #0x75e, lsl #0xc // SUBS_64_addsub_shift 11101011xx0xxxxxxxxxxxxxxxxxxxxx EB8FA50E subs x14, x8, x15, asr #0x29 EB15D746 subs x6, x26, x21, lsl #0x35 EB1CEB0D subs x13, x24, x28, lsl #0x3a EB443838 subs x24, x1, x4, lsr #0xe EB4A44FB subs x27, x7, x10, lsr #0x11 EB13C972 subs x18, x11, x19, lsl #0x32 EB45C648 subs x8, x18, x5, lsr #0x31 EB8B8FAB subs x11, x29, x11, asr #0x23 EB90061C subs x28, x16, x16, asr #0x1 EB48F80F subs x15, x0, x8, lsr #0x3e EB8EC9A0 subs x0, x13, x14, asr #0x32 EB0E52CE subs x14, x22, x14, lsl #0x14 EB4E538F subs x15, x28, x14, lsr #0x14 EB445C03 subs x3, x0, x4, lsr #0x17 EB4D30C6 subs x6, x6, x13, lsr #0xc EB820336 subs x22, x25, x2, asr #0x0 // SUB_32_addsub_ext 01001011001xxxxxxxxxxxxxxxxxxxxx 4B2BC8B6 sub w22, w5, w11, sxtw #0x2 4B202AEC sub w12, w23, w0, uxth #0x2 4B3992AA sub w10, w21, w25, sxtb #0x4 4B3006B0 sub w16, w21, w16, uxtb #0x1 4B362FFE sub w30, wsp, w22, uxth #0x3 4B2D2319 sub w25, w24, w13, uxth 4B2329ED sub w13, w15, w3, uxth #0x2 4B32CB39 sub w25, w25, w18, sxtw #0x2 4B3251DB sub w27, w14, w18, uxtw #0x4 4B228909 sub w9, w8, w2, sxtb #0x2 4B2DEB78 sub w24, w27, w13, sxtx #0x2 4B3BE8A3 sub w3, w5, w27, sxtx #0x2 4B24044C sub w12, w2, w4, uxtb #0x1 4B2DEAD6 sub w22, w22, w13, sxtx #0x2 4B26E76A sub w10, w27, w6, sxtx #0x1 4B2E500B sub w11, w0, w14, uxtw #0x4 // SUB_32_addsub_imm 010100010xxxxxxxxxxxxxxxxxxxxxxx 5177273E sub w30, w25, #0xdc9, lsl #0xc 517F11A5 sub w5, w13, #0xfc4, lsl #0xc 512C64D1 sub w17, w6, #0xb19 51178ACD sub w13, w22, #0x5e2 517B5424 sub w4, w1, #0xed5, lsl #0xc 516C8AE5 sub w5, w23, #0xb22, lsl #0xc 51434D60 sub w0, w11, #0xd3, lsl #0xc 5111BD57 sub w23, w10, #0x46f 513D8035 sub w21, w1, #0xf60 511F6304 sub w4, w24, #0x7d8 51315367 sub w7, w27, #0xc54 51488A94 sub w20, w20, #0x222, lsl #0xc 5164B950 sub w16, w10, #0x92e, lsl #0xc 514FB6C4 sub w4, w22, #0x3ed, lsl #0xc 5134DA03 sub w3, w16, #0xd36 517252BB sub w27, w21, #0xc94, lsl #0xc // SUB_32_addsub_shift 01001011xx0xxxxx0xxxxxxxxxxxxxxx 4B896360 sub w0, w27, w9, asr #0x18 4B59610A sub w10, w8, w25, lsr #0x18 4B1735A6 sub w6, w13, w23, lsl #0xd 4B084F2C sub w12, w25, w8, lsl #0x13 4B074710 sub w16, w24, w7, lsl #0x11 4B0336E0 sub w0, w23, w3, lsl #0xd 4B964787 sub w7, w28, w22, asr #0x11 4B4E2EC7 sub w7, w22, w14, lsr #0xb 4B0C3215 sub w21, w16, w12, lsl #0xc 4B883BB8 sub w24, w29, w8, asr #0xe 4B1000B7 sub w23, w5, w16 4B980EB4 sub w20, w21, w24, asr #0x3 4B4A2DA2 sub w2, w13, w10, lsr #0xb 4B9607D9 sub w25, w30, w22, asr #0x1 4B82485D sub w29, w2, w2, asr #0x12 4B860FD1 sub w17, w30, w6, asr #0x3 // SUB_64_addsub_ext 11001011001xxxxxxxxxxxxxxxxxxxxx CB3F8610 sub x16, x16, wzr, sxtb #0x1 CB31EB11 sub x17, x24, x17, sxtx #0x2 CB29E4DA sub x26, x6, x9, sxtx #0x1 CB22ECBF sub sp, x5, x2, sxtx #0x3 CB34041B sub x27, x0, w20, uxtb #0x1 CB2CEE7D sub x29, x19, x12, sxtx #0x3 CB2750CE sub x14, x6, w7, uxtw #0x4 CB20C22F sub x15, x17, w0, sxtw CB2D490F sub x15, x8, w13, uxtw #0x2 CB31A7EC sub x12, sp, w17, sxth #0x1 CB3E448C sub x12, x4, w30, uxtw #0x1 CB2AA0CC sub x12, x6, w10, sxth CB390F88 sub x8, x28, w25, uxtb #0x3 CB2A0DCD sub x13, x14, w10, uxtb #0x3 CB20230D sub x13, x24, w0, uxth CB3D6481 sub x1, x4, x29, uxtx #0x1 // SUB_64_addsub_imm 110100010xxxxxxxxxxxxxxxxxxxxxxx D15E0B6F sub x15, x27, #0x782, lsl #0xc D100C600 sub x0, x16, #0x31 D113CAD9 sub x25, x22, #0x4f2 D156A1C6 sub x6, x14, #0x5a8, lsl #0xc D157D42E sub x14, x1, #0x5f5, lsl #0xc D162A45A sub x26, x2, #0x8a9, lsl #0xc D14C27EE sub x14, sp, #0x309, lsl #0xc D1732DD4 sub x20, x14, #0xccb, lsl #0xc D14AC3F3 sub x19, sp, #0x2b0, lsl #0xc D15E8526 sub x6, x9, #0x7a1, lsl #0xc D154F416 sub x22, x0, #0x53d, lsl #0xc D166073E sub x30, x25, #0x981, lsl #0xc D10F7748 sub x8, x26, #0x3dd D1262C26 sub x6, x1, #0x98b D13C95EE sub x14, x15, #0xf25 D1401F68 sub x8, x27, #0x7, lsl #0xc // SUB_64_addsub_shift 11001011xx0xxxxxxxxxxxxxxxxxxxxx CB162AD1 sub x17, x22, x22, lsl #0xa CB8219D3 sub x19, x14, x2, asr #0x6 CB8685F6 sub x22, x15, x6, asr #0x21 CB4EB552 sub x18, x10, x14, lsr #0x2d CB5EEC79 sub x25, x3, x30, lsr #0x3b CB48761D sub x29, x16, x8, lsr #0x1d CB4FC9F5 sub x21, x15, x15, lsr #0x32 CB5EF283 sub x3, x20, x30, lsr #0x3c CB15CA3F sub xzr, x17, x21, lsl #0x32 CB14B9A1 sub x1, x13, x20, lsl #0x2e CB18BCF9 sub x25, x7, x24, lsl #0x2f CB1306F0 sub x16, x23, x19, lsl #0x1 CB5CB908 sub x8, x8, x28, lsr #0x2e CB4E126D sub x13, x19, x14, lsr #0x4 CB8CE43E sub x30, x1, x12, asr #0x39 CB882A73 sub x19, x19, x8, asr #0xa // SUB_asimdsame_only 0x101110xx1xxxxx1000xxxxxxxxxxxx 2E3B870C sub v12.8b, v24.8b, v27.8b 6E2F8530 sub v16.16b, v9.16b, v15.16b 6EA18574 sub v20.4s, v11.4s, v1.4s 2E67860C sub v12.4h, v16.4h, v7.4h 2EAE866C sub v12.2s, v19.2s, v14.2s 6EB08687 sub v7.4s, v20.4s, v16.4s 6E2585B2 sub v18.16b, v13.16b, v5.16b 6E798529 sub v9.8h, v9.8h, v25.8h 2E3B8752 sub v18.8b, v26.8b, v27.8b 6EBE8494 sub v20.4s, v4.4s, v30.4s 6EA0878F sub v15.4s, v28.4s, v0.4s 6EBE8407 sub v7.4s, v0.4s, v30.4s 6EBD8703 sub v3.4s, v24.4s, v29.4s 6EAD846D sub v13.4s, v3.4s, v13.4s 2E698762 sub v2.4h, v27.4h, v9.4h 2E308722 sub v2.8b, v25.8b, v16.8b // SUB_asisdsame_only 01111110111xxxxx100001xxxxxxxxxx 7EED85F9 sub d25, d15, d13 7EFF877D sub d29, d27, d31 7EE386B6 sub d22, d21, d3 7EFE86DA sub d26, d22, d30 7EF085AC sub d12, d13, d16 7EEB8767 sub d7, d27, d11 7EF18553 sub d19, d10, d17 7EEC8523 sub d3, d9, d12 7EE487AC sub d12, d29, d4 7EEC86E2 sub d2, d23, d12 7EF68696 sub d22, d20, d22 7EE08620 sub d0, d17, d0 7EF98476 sub d22, d3, d25 7EFC8661 sub d1, d19, d28 7EFF8757 sub d23, d26, d31 7EFD8604 sub d4, d16, d29 // SUDOT_asimdelem_D 0x00111100xxxxxx1111xxxxxxxxxxxx // SUQADD_asimdmisc_R 0x001110xx100000001110xxxxxxxxxx 0E603B97 suqadd v23.4h, v28.4h 0EA03A2D suqadd v13.2s, v17.2s 4EE0390B suqadd v11.2d, v8.2d 4E203BC3 suqadd v3.16b, v30.16b 4EA03AB0 suqadd v16.4s, v21.4s 0E203AE7 suqadd v7.8b, v23.8b 0EA0387A suqadd v26.2s, v3.2s 4EE038B8 suqadd v24.2d, v5.2d 4EA03979 suqadd v25.4s, v11.4s 4EA03AD4 suqadd v20.4s, v22.4s 0E603853 suqadd v19.4h, v2.4h 0E203B75 suqadd v21.8b, v27.8b 4EA0381C suqadd v28.4s, v0.4s 4E603B4E suqadd v14.8h, v26.8h 4EE03AE6 suqadd v6.2d, v23.2d 4EE0383D suqadd v29.2d, v1.2d // SUQADD_asisdmisc_R 01011110xx10000000111xxxxxxxxxxx 5EA038CC suqadd s12, s6 5E603B76 suqadd h22, h27 5EA03B43 suqadd s3, s26 5E60397F suqadd h31, h11 5EE03A6A suqadd d10, d19 5EE038D0 suqadd d16, d6 5E203B89 suqadd b9, b28 5EE039F9 suqadd d25, d15 5EE03B59 suqadd d25, d26 5EA0398C suqadd s12, s12 5EE03874 suqadd d20, d3 5EA03AF2 suqadd s18, s23 5E60383B suqadd h27, h1 5E603841 suqadd h1, h2 5EE039A3 suqadd d3, d13 5E60382E suqadd h14, h1 // SVC_EX_exception 11010100000xxxxxxxxxxxxxxxx00001 D4016F21 svc #0xb79 D4031461 svc #0x18a3 D40DD501 svc #0x6ea8 D40E7581 svc #0x73ac D41A5101 svc #0xd288 D4094B41 svc #0x4a5a D402EB21 svc #0x1759 D4172C41 svc #0xb962 D4126401 svc #0x9320 D4097E81 svc #0x4bf4 D41245A1 svc #0x922d D4151141 svc #0xa88a D4079421 svc #0x3ca1 D41486C1 svc #0xa436 D4025AA1 svc #0x12d5 D4017B01 svc #0xbd8 // SWPAB_32_memop 00111000101xxxxx100000xxxxxxxxxx 38B3833F swpab w19, wzr, [x25] 38B881C2 swpab w24, w2, [x14] 38AD8184 swpab w13, w4, [x12] 38A781AF swpab w7, w15, [x13] 38A482B9 swpab w4, w25, [x21] 38BD8260 swpab w29, w0, [x19] 38B6814E swpab w22, w14, [x10] 38AB82FE swpab w11, w30, [x23] 38AF8160 swpab w15, w0, [x11] 38BD806A swpab w29, w10, [x3] 38BD8366 swpab w29, w6, [x27] 38A88033 swpab w8, w19, [x1] 38A881ED swpab w8, w13, [x15] 38AC81BD swpab w12, w29, [x13] 38B88280 swpab w24, w0, [x20] 38A480FB swpab w4, w27, [x7] // SWPAH_32_memop 01111000101xxxxx100000xxxxxxxxxx 78B281F9 swpah w18, w25, [x15] 78AD8159 swpah w13, w25, [x10] 78B580AC swpah w21, w12, [x5] 78AC803C swpah w12, w28, [x1] 78B5808B swpah w21, w11, [x4] 78A68343 swpah w6, w3, [x26] 78B281BA swpah w18, w26, [x13] 78BB803D swpah w27, w29, [x1] 78B88131 swpah w24, w17, [x9] 78AC81E3 swpah w12, w3, [x15] 78A9819D swpah w9, w29, [x12] 78AF83DE swpah w15, w30, [x30] 78B78159 swpah w23, w25, [x10] 78B48138 swpah w20, w24, [x9] 78B8816D swpah w24, w13, [x11] 78B683CB swpah w22, w11, [x30] // SWPALB_32_memop 00111000111xxxxx100000xxxxxxxxxx 38F58263 swpalb w21, w3, [x19] 38F583DC swpalb w21, w28, [x30] 38E5814F swpalb w5, w15, [x10] 38F58327 swpalb w21, w7, [x25] 38FB83C8 swpalb w27, w8, [x30] 38E38327 swpalb w3, w7, [x25] 38F480C9 swpalb w20, w9, [x6] 38EE81C2 swpalb w14, w2, [x14] 38FB80B6 swpalb w27, w22, [x5] 38FA8323 swpalb w26, w3, [x25] 38FE8133 swpalb w30, w19, [x9] 38E7806E swpalb w7, w14, [x3] 38E8816D swpalb w8, w13, [x11] 38E6802A swpalb w6, w10, [x1] 38E983F7 swpalb w9, w23, [sp] 38F081D6 swpalb w16, w22, [x14] // SWPALH_32_memop 01111000111xxxxx100000xxxxxxxxxx 78EB80C3 swpalh w11, w3, [x6] 78E0834C swpalh w0, w12, [x26] 78FC82CC swpalh w28, w12, [x22] 78FB8303 swpalh w27, w3, [x24] 78FD81DB swpalh w29, w27, [x14] 78F382B0 swpalh w19, w16, [x21] 78F482EB swpalh w20, w11, [x23] 78E480A4 swpalh w4, w4, [x5] 78E580DA swpalh w5, w26, [x6] 78EB82B5 swpalh w11, w21, [x21] 78F78381 swpalh w23, w1, [x28] 78EE8351 swpalh w14, w17, [x26] 78FE812B swpalh w30, w11, [x9] 78FB81F0 swpalh w27, w16, [x15] 78E680E6 swpalh w6, w6, [x7] 78ED824D swpalh w13, w13, [x18] // SWPAL_32_memop 10111000111xxxxx100000xxxxxxxxxx B8FF8218 swpal wzr, w24, [x16] B8EE800F swpal w14, w15, [x0] B8E2832D swpal w2, w13, [x25] B8E481AA swpal w4, w10, [x13] B8E7810C swpal w7, w12, [x8] B8EA8136 swpal w10, w22, [x9] B8EC80A2 swpal w12, w2, [x5] B8F080C2 swpal w16, w2, [x6] B8EF83C1 swpal w15, w1, [x30] B8E1810F swpal w1, w15, [x8] B8E6832A swpal w6, w10, [x25] B8FF82D2 swpal wzr, w18, [x22] B8FD83AA swpal w29, w10, [x29] B8EB823F swpal w11, wzr, [x17] B8EB8354 swpal w11, w20, [x26] B8E8817A swpal w8, w26, [x11] // SWPAL_64_memop 11111000111xxxxx100000xxxxxxxxxx F8FA82F0 swpal x26, x16, [x23] F8E88109 swpal x8, x9, [x8] F8E7806F swpal x7, x15, [x3] F8F1819B swpal x17, x27, [x12] F8FC8228 swpal x28, x8, [x17] F8E38227 swpal x3, x7, [x17] F8F3804A swpal x19, x10, [x2] F8F8804E swpal x24, x14, [x2] F8E283A5 swpal x2, x5, [x29] F8F5804D swpal x21, x13, [x2] F8F9805C swpal x25, x28, [x2] F8EF83D3 swpal x15, x19, [x30] F8F58115 swpal x21, x21, [x8] F8E3839E swpal x3, x30, [x28] F8F982F2 swpal x25, x18, [x23] F8E5801D swpal x5, x29, [x0] // SWPA_32_memop 10111000101xxxxx100000xxxxxxxxxx B8AA8366 swpa w10, w6, [x27] B8A083D8 swpa w0, w24, [x30] B8A0812D swpa w0, w13, [x9] B8A8832D swpa w8, w13, [x25] B8AE8249 swpa w14, w9, [x18] B8B08205 swpa w16, w5, [x16] B8B58232 swpa w21, w18, [x17] B8BF839F swpa wzr, wzr, [x28] B8B48102 swpa w20, w2, [x8] B8B981AF swpa w25, w15, [x13] B8B0810E swpa w16, w14, [x8] B8A9809F swpa w9, wzr, [x4] B8A88255 swpa w8, w21, [x18] B8B08226 swpa w16, w6, [x17] B8B38291 swpa w19, w17, [x20] B8AC8096 swpa w12, w22, [x4] // SWPA_64_memop 11111000101xxxxx100000xxxxxxxxxx F8AF8381 swpa x15, x1, [x28] F8AD83B0 swpa x13, x16, [x29] F8AC82E3 swpa x12, x3, [x23] F8A68101 swpa x6, x1, [x8] F8B183B8 swpa x17, x24, [x29] F8A881BF swpa x8, xzr, [x13] F8B88120 swpa x24, x0, [x9] F8AA818C swpa x10, x12, [x12] F8A58052 swpa x5, x18, [x2] F8A8828C swpa x8, x12, [x20] F8B18068 swpa x17, x8, [x3] F8B1832C swpa x17, x12, [x25] F8B18291 swpa x17, x17, [x20] F8AA80C3 swpa x10, x3, [x6] F8B58098 swpa x21, x24, [x4] F8AE8298 swpa x14, x24, [x20] // SWPB_32_memop 00111000001xxxxx100000xxxxxxxxxx 383682A5 swpb w22, w5, [x21] 382781BE swpb w7, w30, [x13] 382082D9 swpb w0, w25, [x22] 3831828C swpb w17, w12, [x20] 382C8202 swpb w12, w2, [x16] 383E8344 swpb w30, w4, [x26] 382682E5 swpb w6, w5, [x23] 383482A1 swpb w20, w1, [x21] 383882B3 swpb w24, w19, [x21] 383682E5 swpb w22, w5, [x23] 382A818D swpb w10, w13, [x12] 38398127 swpb w25, w7, [x9] 383B8038 swpb w27, w24, [x1] 382583FD swpb w5, w29, [sp] 3823823C swpb w3, w28, [x17] 383A82A9 swpb w26, w9, [x21] // SWPH_32_memop 01111000001xxxxx100000xxxxxxxxxx 782080BA swph w0, w26, [x5] 782A806D swph w10, w13, [x3] 783B8250 swph w27, w16, [x18] 7839820D swph w25, w13, [x16] 782982DA swph w9, w26, [x22] 7833803E swph w19, w30, [x1] 782C8298 swph w12, w24, [x20] 783C80A0 swph w28, w0, [x5] 782C8038 swph w12, w24, [x1] 783F83C9 swph wzr, w9, [x30] 78398172 swph w25, w18, [x11] 7837834D swph w23, w13, [x26] 783F8314 swph wzr, w20, [x24] 782D8046 swph w13, w6, [x2] 783783E1 swph w23, w1, [sp] 78238253 swph w3, w19, [x18] // SWPLB_32_memop 00111000011xxxxx100000xxxxxxxxxx 3867807B swplb w7, w27, [x3] 387982BB swplb w25, w27, [x21] 387B83CE swplb w27, w14, [x30] 387B805D swplb w27, w29, [x2] 38688239 swplb w8, w25, [x17] 387F82B8 swplb wzr, w24, [x21] 387980FE swplb w25, w30, [x7] 386F8330 swplb w15, w16, [x25] 386C80FA swplb w12, w26, [x7] 386F8031 swplb w15, w17, [x1] 386983B9 swplb w9, w25, [x29] 387B8397 swplb w27, w23, [x28] 386882EC swplb w8, w12, [x23] 38758141 swplb w21, w1, [x10] 387E8256 swplb w30, w22, [x18] 386A8178 swplb w10, w24, [x11] // SWPLH_32_memop 01111000011xxxxx100000xxxxxxxxxx 786D8073 swplh w13, w19, [x3] 786C8199 swplh w12, w25, [x12] 786F8329 swplh w15, w9, [x25] 78668169 swplh w6, w9, [x11] 78638115 swplh w3, w21, [x8] 7866827A swplh w6, w26, [x19] 7869820F swplh w9, w15, [x16] 787382AF swplh w19, w15, [x21] 786A82C2 swplh w10, w2, [x22] 787681B6 swplh w22, w22, [x13] 786381C8 swplh w3, w8, [x14] 78758151 swplh w21, w17, [x10] 78748301 swplh w20, w1, [x24] 787D80D3 swplh w29, w19, [x6] 787A8157 swplh w26, w23, [x10] 78658332 swplh w5, w18, [x25] // SWPL_32_memop 10111000011xxxxx100000xxxxxxxxxx B86F82E8 swpl w15, w8, [x23] B87082A2 swpl w16, w2, [x21] B86682AA swpl w6, w10, [x21] B87A810D swpl w26, w13, [x8] B8658176 swpl w5, w22, [x11] B86783F6 swpl w7, w22, [sp] B87983E8 swpl w25, w8, [sp] B8668226 swpl w6, w6, [x17] B8688183 swpl w8, w3, [x12] B87E805A swpl w30, w26, [x2] B870801C swpl w16, w28, [x0] B86080BA swpl w0, w26, [x5] B8768019 swpl w22, w25, [x0] B8668293 swpl w6, w19, [x20] B8748368 swpl w20, w8, [x27] B869817E swpl w9, w30, [x11] // SWPL_64_memop 11111000011xxxxx100000xxxxxxxxxx F86D83EE swpl x13, x14, [sp] F8648053 swpl x4, x19, [x2] F86E83F1 swpl x14, x17, [sp] F8778209 swpl x23, x9, [x16] F86383F9 swpl x3, x25, [sp] F8618185 swpl x1, x5, [x12] F87A83FB swpl x26, x27, [sp] F860817F swpl x0, xzr, [x11] F87D8312 swpl x29, x18, [x24] F86C801D swpl x12, x29, [x0] F8698103 swpl x9, x3, [x8] F87F824F swpl xzr, x15, [x18] F8678249 swpl x7, x9, [x18] F8758288 swpl x21, x8, [x20] F870830B swpl x16, x11, [x24] F871822F swpl x17, x15, [x17] // SWP_32_memop 10111000001xxxxx100000xxxxxxxxxx B8218140 swp w1, w0, [x10] B8238165 swp w3, w5, [x11] B82380A8 swp w3, w8, [x5] B82E83C4 swp w14, w4, [x30] B83A82A6 swp w26, w6, [x21] B836816C swp w22, w12, [x11] B83C800A swp w28, w10, [x0] B83B8073 swp w27, w19, [x3] B83A82B0 swp w26, w16, [x21] B831831E swp w17, w30, [x24] B82483B3 swp w4, w19, [x29] B82C827B swp w12, w27, [x19] B8338030 swp w19, w16, [x1] B824814F swp w4, w15, [x10] B83481DE swp w20, w30, [x14] B8388297 swp w24, w23, [x20] // SWP_64_memop 11111000001xxxxx100000xxxxxxxxxx F82183F0 swp x1, x16, [sp] F82880A6 swp x8, x6, [x5] F82C82D4 swp x12, x20, [x22] F83981FE swp x25, x30, [x15] F826805B swp x6, x27, [x2] F82F804A swp x15, x10, [x2] F82F818D swp x15, x13, [x12] F82F8085 swp x15, x5, [x4] F82C80D4 swp x12, x20, [x6] F82483D4 swp x4, x20, [x30] F836839E swp x22, x30, [x28] F8208324 swp x0, x4, [x25] F820800E swp x0, x14, [x0] F82680FA swp x6, x26, [x7] F83581D9 swp x21, x25, [x14] F827812D swp x7, x13, [x9] // SXTB_SBFM_32M_bitfield 0001001100000000000111xxxxxxxxxx 13001C8A sxtb w10, w4 13001E9E sxtb w30, w20 13001EDA sxtb w26, w22 13001D60 sxtb w0, w11 13001E95 sxtb w21, w20 13001C18 sxtb w24, w0 13001F3B sxtb w27, w25 13001EF4 sxtb w20, w23 13001CC3 sxtb w3, w6 13001C25 sxtb w5, w1 13001FA7 sxtb w7, w29 13001F74 sxtb w20, w27 13001D61 sxtb w1, w11 13001CEB sxtb w11, w7 13001F3C sxtb w28, w25 13001E96 sxtb w22, w20 // SXTB_SBFM_64M_bitfield 1001001101000000000111xxxxxxxxxx 93401E61 sxtb x1, w19 93401E14 sxtb x20, w16 93401E33 sxtb x19, w17 93401C53 sxtb x19, w2 93401EDA sxtb x26, w22 93401DF5 sxtb x21, w15 93401DEB sxtb x11, w15 93401D76 sxtb x22, w11 93401CBF sxtb xzr, w5 93401E3E sxtb x30, w17 93401EAB sxtb x11, w21 93401DB6 sxtb x22, w13 93401E60 sxtb x0, w19 93401EEF sxtb x15, w23 93401E36 sxtb x22, w17 93401CB5 sxtb x21, w5 // SXTH_SBFM_32M_bitfield 0001001100000000001111xxxxxxxxxx 13003EFA sxth w26, w23 13003E5F sxth wzr, w18 13003FF7 sxth w23, wzr 13003C8C sxth w12, w4 13003ED5 sxth w21, w22 13003E91 sxth w17, w20 13003CCB sxth w11, w6 13003F6F sxth w15, w27 13003C15 sxth w21, w0 13003D58 sxth w24, w10 13003C88 sxth w8, w4 13003FEC sxth w12, wzr 13003EA9 sxth w9, w21 13003F2D sxth w13, w25 13003E36 sxth w22, w17 13003FDE sxth w30, w30 // SXTH_SBFM_64M_bitfield 1001001101000000001111xxxxxxxxxx 93403DA2 sxth x2, w13 93403E62 sxth x2, w19 93403F7A sxth x26, w27 93403D62 sxth x2, w11 93403C68 sxth x8, w3 93403DED sxth x13, w15 93403EDA sxth x26, w22 93403E03 sxth x3, w16 93403E6D sxth x13, w19 93403CEE sxth x14, w7 93403E0E sxth x14, w16 93403E3C sxth x28, w17 93403E4F sxth x15, w18 93403C9A sxth x26, w4 93403E98 sxth x24, w20 93403DAF sxth x15, w13 // SXTL_SSHLL_asimdshf_L 0x00111100xxx0001010xxxxxxxxxxxx 4F20A47A sxtl2 v26.2d, v3.4s 0F08A790 sxtl v16.8h, v28.8b 4F10A5FB sxtl2 v27.4s, v15.8h 4F08A4EA sxtl2 v10.8h, v7.16b 4F08A696 sxtl2 v22.8h, v20.16b 4F10A573 sxtl2 v19.4s, v11.8h 4F20A53D sxtl2 v29.2d, v9.4s 4F20A576 sxtl2 v22.2d, v11.4s 0F08A792 sxtl v18.8h, v28.8b 4F10A6E4 sxtl2 v4.4s, v23.8h 0F20A689 sxtl v9.2d, v20.2s 0F10A7D1 sxtl v17.4s, v30.4h 4F08A425 sxtl2 v5.8h, v1.16b 4F20A45C sxtl2 v28.2d, v2.4s 0F08A644 sxtl v4.8h, v18.8b 4F10A5A6 sxtl2 v6.4s, v13.8h // SXTW_SBFM_64M_bitfield 1001001101000000011111xxxxxxxxxx 93407DF0 sxtw x16, w15 93407DEF sxtw x15, w15 93407F20 sxtw x0, w25 93407E80 sxtw x0, w20 93407EDA sxtw x26, w22 93407F86 sxtw x6, w28 93407C15 sxtw x21, w0 93407D25 sxtw x5, w9 93407D63 sxtw x3, w11 93407CF4 sxtw x20, w7 93407CB9 sxtw x25, w5 93407D4B sxtw x11, w10 93407DAF sxtw x15, w13 93407C25 sxtw x5, w1 93407F51 sxtw x17, w26 93407EC8 sxtw x8, w22 // SYSL_RC_systeminstrs 1101010100101xxxxxxxxxxxxxxxxxxx D52F54FF sysl xzr, #0x7, c5, c4, #0x7 D52F4468 sysl x8, #0x7, c4, c4, #0x3 D52EB223 sysl x3, #0x6, c11, c2, #0x1 D52C17B8 sysl x24, #0x4, c1, c7, #0x5 D5284AA7 sysl x7, #0x0, c4, c10, #0x5 D5282A62 sysl x2, #0x0, c2, c10, #0x3 D52AE76D sysl x13, #0x2, c14, c7, #0x3 D52E31B3 sysl x19, #0x6, c3, c1, #0x5 D52AF76D sysl x13, #0x2, c15, c7, #0x3 D5297567 sysl x7, #0x1, c7, c5, #0x3 D52FD61B sysl x27, #0x7, c13, c6, #0x0 D52A2653 sysl x19, #0x2, c2, c6, #0x2 D5296D00 sysl x0, #0x1, c6, c13, #0x0 D52E1DF6 sysl x22, #0x6, c1, c13, #0x7 D529D9C2 sysl x2, #0x1, c13, c9, #0x6 D52C4F63 sysl x3, #0x4, c4, c15, #0x3 // SYS_CR_systeminstrs 1101010100001xxxxxxxxxxxxxxxxxxx D50D3428 sys #0x5, c3, c4, #0x1, x8 D50B1BD8 sys #0x3, c1, c11, #0x6, x24 D50D1A63 sys #0x5, c1, c10, #0x3, x3 D50DEF0E sys #0x5, c14, c15, #0x0, x14 D50AFB86 sys #0x2, c15, c11, #0x4, x6 D50BFA11 sys #0x3, c15, c10, #0x0, x17 D5099162 sys #0x1, c9, c1, #0x3, x2 D50AD37D sys #0x2, c13, c3, #0x3, x29 D50DC76A sys #0x5, c12, c7, #0x3, x10 D50F1D80 sys #0x7, c1, c13, #0x4, x0 D50B4832 sys #0x3, c4, c8, #0x1, x18 D50EE899 sys #0x6, c14, c8, #0x4, x25 D509DAE8 sys #0x1, c13, c10, #0x7, x8 D50C4BB1 sys #0x4, c4, c11, #0x5, x17 D508B228 sys #0x0, c11, c2, #0x1, x8 D50BB610 sys #0x3, c11, c6, #0x0, x16 // TBL_asimdtbl_L1_1 0x001110000xxxxx00000xxxxxxxxxxx 4E1101A3 tbl v3.16b, {v13.16b}, v17.16b 4E0200BC tbl v28.16b, {v5.16b}, v2.16b 0E0A01DE tbl v30.8b, {v14.16b}, v10.8b 4E1A03D4 tbl v20.16b, {v30.16b}, v26.16b 0E190260 tbl v0.8b, {v19.16b}, v25.8b 0E0A004F tbl v15.8b, {v2.16b}, v10.8b 0E0602F2 tbl v18.8b, {v23.16b}, v6.8b 0E01027C tbl v28.8b, {v19.16b}, v1.8b 0E000339 tbl v25.8b, {v25.16b}, v0.8b 0E0702F2 tbl v18.8b, {v23.16b}, v7.8b 0E0E0382 tbl v2.8b, {v28.16b}, v14.8b 4E0C039E tbl v30.16b, {v28.16b}, v12.16b 4E0A0051 tbl v17.16b, {v2.16b}, v10.16b 4E01013C tbl v28.16b, {v9.16b}, v1.16b 0E010192 tbl v18.8b, {v12.16b}, v1.8b 4E0001D4 tbl v20.16b, {v14.16b}, v0.16b // TBL_asimdtbl_L2_2 0x001110000xxxxx001000xxxxxxxxxx 0E022065 tbl v5.8b, {v3.16b, v4.16b}, v2.8b 0E03226D tbl v13.8b, {v19.16b, v20.16b}, v3.8b 4E062261 tbl v1.16b, {v19.16b, v20.16b}, v6.16b 0E142231 tbl v17.8b, {v17.16b, v18.16b}, v20.8b 4E0623CD tbl v13.16b, {v30.16b, v31.16b}, v6.16b 4E162178 tbl v24.16b, {v11.16b, v12.16b}, v22.16b 4E11222F tbl v15.16b, {v17.16b, v18.16b}, v17.16b 0E1F2243 tbl v3.8b, {v18.16b, v19.16b}, v31.8b 4E0F2013 tbl v19.16b, {v0.16b, v1.16b}, v15.16b 0E122151 tbl v17.8b, {v10.16b, v11.16b}, v18.8b 0E1A2053 tbl v19.8b, {v2.16b, v3.16b}, v26.8b 0E1621E0 tbl v0.8b, {v15.16b, v16.16b}, v22.8b 0E1E231C tbl v28.8b, {v24.16b, v25.16b}, v30.8b 0E1A205A tbl v26.8b, {v2.16b, v3.16b}, v26.8b 4E0622AB tbl v11.16b, {v21.16b, v22.16b}, v6.16b 0E0F2056 tbl v22.8b, {v2.16b, v3.16b}, v15.8b // TBL_asimdtbl_L3_3 0x001110000xxxxx010000xxxxxxxxxx 0E074138 tbl v24.8b, {v9.16b, v10.16b, v11.16b}, v7.8b 4E03400D tbl v13.16b, {v0.16b, v1.16b, v2.16b}, v3.16b 0E174021 tbl v1.8b, {v1.16b, v2.16b, v3.16b}, v23.8b 4E0642E3 tbl v3.16b, {v23.16b, v24.16b, v25.16b}, v6.16b 0E1141C0 tbl v0.8b, {v14.16b, v15.16b, v16.16b}, v17.8b 0E1643C7 tbl v7.8b, {v30.16b, v31.16b, v0.16b}, v22.8b 0E124087 tbl v7.8b, {v4.16b, v5.16b, v6.16b}, v18.8b 4E07436C tbl v12.16b, {v27.16b, v28.16b, v29.16b}, v7.16b 0E0143AF tbl v15.8b, {v29.16b, v30.16b, v31.16b}, v1.8b 0E1D412C tbl v12.8b, {v9.16b, v10.16b, v11.16b}, v29.8b 4E014148 tbl v8.16b, {v10.16b, v11.16b, v12.16b}, v1.16b 0E0C4097 tbl v23.8b, {v4.16b, v5.16b, v6.16b}, v12.8b 4E06406B tbl v11.16b, {v3.16b, v4.16b, v5.16b}, v6.16b 0E0843FF tbl v31.8b, {v31.16b, v0.16b, v1.16b}, v8.8b 0E0942AA tbl v10.8b, {v21.16b, v22.16b, v23.16b}, v9.8b 0E0E4089 tbl v9.8b, {v4.16b, v5.16b, v6.16b}, v14.8b // TBL_asimdtbl_L4_4 0x001110000xxxxx011000xxxxxxxxxx 0E0263FF tbl v31.8b, {v31.16b, v0.16b, v1.16b, v2.16b}, v2.8b 4E1D6024 tbl v4.16b, {v1.16b, v2.16b, v3.16b, v4.16b}, v29.16b 0E04616C tbl v12.8b, {v11.16b, v12.16b, v13.16b, v14.16b}, v4.8b 0E1A6006 tbl v6.8b, {v0.16b, v1.16b, v2.16b, v3.16b}, v26.8b 0E0063FA tbl v26.8b, {v31.16b, v0.16b, v1.16b, v2.16b}, v0.8b 4E0D60D3 tbl v19.16b, {v6.16b, v7.16b, v8.16b, v9.16b}, v13.16b 0E026214 tbl v20.8b, {v16.16b, v17.16b, v18.16b, v19.16b}, v2.8b 0E00630D tbl v13.8b, {v24.16b, v25.16b, v26.16b, v27.16b}, v0.8b 0E19639E tbl v30.8b, {v28.16b, v29.16b, v30.16b, v31.16b}, v25.8b 4E12625E tbl v30.16b, {v18.16b, v19.16b, v20.16b, v21.16b}, v18.16b 4E18622A tbl v10.16b, {v17.16b, v18.16b, v19.16b, v20.16b}, v24.16b 0E0F6245 tbl v5.8b, {v18.16b, v19.16b, v20.16b, v21.16b}, v15.8b 0E05628B tbl v11.8b, {v20.16b, v21.16b, v22.16b, v23.16b}, v5.8b 4E1063FB tbl v27.16b, {v31.16b, v0.16b, v1.16b, v2.16b}, v16.16b 0E02610F tbl v15.8b, {v8.16b, v9.16b, v10.16b, v11.16b}, v2.8b 4E066046 tbl v6.16b, {v2.16b, v3.16b, v4.16b, v5.16b}, v6.16b // TBNZ_only_testbranch x0110111xxxxxxxxxxxxxxxxxxxxxxxx 3782E67B tbnz w27, #0x10, 0x8000000000005cd0 377BA894 tbnz w20, #0xf, 0x8000000000007514 B72DA58C tbnz x12, #0x25, 0x7fffffffffffb4b4 37879149 tbnz w9, #0x10, 0x7ffffffffffff22c B7D9C987 tbnz x7, #0x3b, 0x8000000000003934 378DAAE8 tbnz w8, #0x11, 0x7fffffffffffb560 B7D0E7DA tbnz x26, #0x3a, 0x8000000000001cfc 3734336C tbnz w12, #0x6, 0x7fffffffffff8670 B7D6B88F tbnz x15, #0x3a, 0x7fffffffffffd714 B795D307 tbnz x7, #0x32, 0x7fffffffffffba64 B774E7C4 tbnz x4, #0x2e, 0x7fffffffffff9cfc B736FF83 tbnz x3, #0x26, 0x7fffffffffffdff4 37941A34 tbnz w20, #0x12, 0x7fffffffffff8348 B72E12D7 tbnz x23, #0x25, 0x7fffffffffffc25c B78F46F7 tbnz x23, #0x31, 0x7fffffffffffe8e0 B7372300 tbnz x0, #0x26, 0x7fffffffffffe464 // TBX_asimdtbl_L1_1 0x001110000xxxxx000100xxxxxxxxxx 0E061044 tbx v4.8b, {v2.16b}, v6.8b 4E0C12FB tbx v27.16b, {v23.16b}, v12.16b 4E0911A8 tbx v8.16b, {v13.16b}, v9.16b 4E1912BA tbx v26.16b, {v21.16b}, v25.16b 0E0A13B0 tbx v16.8b, {v29.16b}, v10.8b 4E1011E4 tbx v4.16b, {v15.16b}, v16.16b 0E0213E7 tbx v7.8b, {v31.16b}, v2.8b 0E0C11E1 tbx v1.8b, {v15.16b}, v12.8b 0E1F1220 tbx v0.8b, {v17.16b}, v31.8b 4E0D1226 tbx v6.16b, {v17.16b}, v13.16b 4E0D1162 tbx v2.16b, {v11.16b}, v13.16b 0E1913B2 tbx v18.8b, {v29.16b}, v25.8b 0E12114E tbx v14.8b, {v10.16b}, v18.8b 0E08112C tbx v12.8b, {v9.16b}, v8.8b 0E19123D tbx v29.8b, {v17.16b}, v25.8b 4E14115D tbx v29.16b, {v10.16b}, v20.16b // TBX_asimdtbl_L2_2 0x001110000xxxxx001100xxxxxxxxxx 0E1D3262 tbx v2.8b, {v19.16b, v20.16b}, v29.8b 0E0F3273 tbx v19.8b, {v19.16b, v20.16b}, v15.8b 0E0630CB tbx v11.8b, {v6.16b, v7.16b}, v6.8b 4E0A31C1 tbx v1.16b, {v14.16b, v15.16b}, v10.16b 4E1F3045 tbx v5.16b, {v2.16b, v3.16b}, v31.16b 0E1E33D0 tbx v16.8b, {v30.16b, v31.16b}, v30.8b 0E0C30B5 tbx v21.8b, {v5.16b, v6.16b}, v12.8b 4E073034 tbx v20.16b, {v1.16b, v2.16b}, v7.16b 0E0A33F7 tbx v23.8b, {v31.16b, v0.16b}, v10.8b 0E0C325E tbx v30.8b, {v18.16b, v19.16b}, v12.8b 0E0031E3 tbx v3.8b, {v15.16b, v16.16b}, v0.8b 0E1431A2 tbx v2.8b, {v13.16b, v14.16b}, v20.8b 0E1F3373 tbx v19.8b, {v27.16b, v28.16b}, v31.8b 0E1433BF tbx v31.8b, {v29.16b, v30.16b}, v20.8b 4E183307 tbx v7.16b, {v24.16b, v25.16b}, v24.16b 0E1533CC tbx v12.8b, {v30.16b, v31.16b}, v21.8b // TBX_asimdtbl_L3_3 0x001110000xxxxx010100xxxxxxxxxx 4E055339 tbx v25.16b, {v25.16b, v26.16b, v27.16b}, v5.16b 0E1351A8 tbx v8.8b, {v13.16b, v14.16b, v15.16b}, v19.8b 0E1D520F tbx v15.8b, {v16.16b, v17.16b, v18.16b}, v29.8b 0E065369 tbx v9.8b, {v27.16b, v28.16b, v29.16b}, v6.8b 0E0450D9 tbx v25.8b, {v6.16b, v7.16b, v8.16b}, v4.8b 0E0D5358 tbx v24.8b, {v26.16b, v27.16b, v28.16b}, v13.8b 0E12535C tbx v28.8b, {v26.16b, v27.16b, v28.16b}, v18.8b 0E015044 tbx v4.8b, {v2.16b, v3.16b, v4.16b}, v1.8b 4E155325 tbx v5.16b, {v25.16b, v26.16b, v27.16b}, v21.16b 4E0A50A3 tbx v3.16b, {v5.16b, v6.16b, v7.16b}, v10.16b 0E1551B8 tbx v24.8b, {v13.16b, v14.16b, v15.16b}, v21.8b 4E155125 tbx v5.16b, {v9.16b, v10.16b, v11.16b}, v21.16b 4E0A5380 tbx v0.16b, {v28.16b, v29.16b, v30.16b}, v10.16b 0E135129 tbx v9.8b, {v9.16b, v10.16b, v11.16b}, v19.8b 0E0E5335 tbx v21.8b, {v25.16b, v26.16b, v27.16b}, v14.8b 4E0E51D2 tbx v18.16b, {v14.16b, v15.16b, v16.16b}, v14.16b // TBX_asimdtbl_L4_4 0x001110000xxxxx011100xxxxxxxxxx 4E197385 tbx v5.16b, {v28.16b, v29.16b, v30.16b, v31.16b}, v25.16b 0E0373A8 tbx v8.8b, {v29.16b, v30.16b, v31.16b, v0.16b}, v3.8b 0E0C722E tbx v14.8b, {v17.16b, v18.16b, v19.16b, v20.16b}, v12.8b 4E0371BB tbx v27.16b, {v13.16b, v14.16b, v15.16b, v16.16b}, v3.16b 0E147272 tbx v18.8b, {v19.16b, v20.16b, v21.16b, v22.16b}, v20.8b 0E1D713F tbx v31.8b, {v9.16b, v10.16b, v11.16b, v12.16b}, v29.8b 4E167028 tbx v8.16b, {v1.16b, v2.16b, v3.16b, v4.16b}, v22.16b 0E1071A7 tbx v7.8b, {v13.16b, v14.16b, v15.16b, v16.16b}, v16.8b 4E0B72C2 tbx v2.16b, {v22.16b, v23.16b, v24.16b, v25.16b}, v11.16b 0E1F72EA tbx v10.8b, {v23.16b, v24.16b, v25.16b, v26.16b}, v31.8b 4E087009 tbx v9.16b, {v0.16b, v1.16b, v2.16b, v3.16b}, v8.16b 0E17705F tbx v31.8b, {v2.16b, v3.16b, v4.16b, v5.16b}, v23.8b 0E1172A0 tbx v0.8b, {v21.16b, v22.16b, v23.16b, v24.16b}, v17.8b 4E017249 tbx v9.16b, {v18.16b, v19.16b, v20.16b, v21.16b}, v1.16b 0E1172E7 tbx v7.8b, {v23.16b, v24.16b, v25.16b, v26.16b}, v17.8b 4E127068 tbx v8.16b, {v3.16b, v4.16b, v5.16b, v6.16b}, v18.16b // TBZ_only_testbranch x0110110xxxxxxxxxxxxxxxxxxxxxxxx 36EE4A53 tbz w19, #0x1d, 0x7fffffffffffc94c 36276D0F tbz w15, #0x4, 0x7fffffffffffeda4 B6AC072B tbz x11, #0x35, 0x7fffffffffff80e8 360E3DE6 tbz w6, #0x1, 0x7fffffffffffc7c0 B69C16BD tbz x29, #0x33, 0x7fffffffffff82d8 B6569B05 tbz x5, #0x2a, 0x7fffffffffffd364 B6EA28CE tbz x14, #0x3d, 0x800000000000451c B660DC23 tbz x3, #0x2c, 0x8000000000001b88 36AD14D3 tbz w19, #0x15, 0x7fffffffffffa29c 364AEA8E tbz w14, #0x9, 0x8000000000005d54 3686623E tbz w30, #0x10, 0x7fffffffffffcc48 365F2243 tbz w3, #0xb, 0x7fffffffffffe44c B66AB3D1 tbz x17, #0x2d, 0x800000000000567c B6EA934F tbz x15, #0x3d, 0x800000000000526c 3675FE02 tbz w2, #0xe, 0x7fffffffffffbfc4 36A72E4D tbz w13, #0x14, 0x7fffffffffffe5cc // TLBI_SYS_CR_systeminstrs 1101010100001xxx1000xxxxxxxxxxxx D50E871F tlbi alle3 D50D892E sys #0x5, c8, c9, #0x1, x14 D50B8962 sys #0x3, c8, c9, #0x3, x2 D50D8D10 sys #0x5, c8, c13, #0x0, x16 D50D8C36 sys #0x5, c8, c12, #0x1, x22 D50F8FB6 sys #0x7, c8, c15, #0x5, x22 D50A8BC0 sys #0x2, c8, c11, #0x6, x0 D50C8B2A sys #0x4, c8, c11, #0x1, x10 D50B8244 sys #0x3, c8, c2, #0x2, x4 D50C8DA7 sys #0x4, c8, c13, #0x5, x7 D50E8AD1 sys #0x6, c8, c10, #0x6, x17 D50D8D25 sys #0x5, c8, c13, #0x1, x5 D50F8F09 sys #0x7, c8, c15, #0x0, x9 D5088EB8 sys #0x0, c8, c14, #0x5, x24 D50F8B36 sys #0x7, c8, c11, #0x1, x22 D50B8970 sys #0x3, c8, c9, #0x3, x16 D50D8A1C sys #0x5, c8, c10, #0x0, x28 // TRN1_asimdperm_only 0x001110xx0xxxxx00101xxxxxxxxxxx 0E132B06 trn1 v6.8b, v24.8b, v19.8b 4EDB2913 trn1 v19.2d, v8.2d, v27.2d 0E032893 trn1 v19.8b, v4.8b, v3.8b 4E4829BD trn1 v29.8h, v13.8h, v8.8h 0E162920 trn1 v0.8b, v9.8b, v22.8b 0E4D2923 trn1 v3.4h, v9.4h, v13.4h 4E0E2994 trn1 v20.16b, v12.16b, v14.16b 0E072919 trn1 v25.8b, v8.8b, v7.8b 0E5729F7 trn1 v23.4h, v15.4h, v23.4h 4E932BF8 trn1 v24.4s, v31.4s, v19.4s 4E5C2ADA trn1 v26.8h, v22.8h, v28.8h 0E172BD1 trn1 v17.8b, v30.8b, v23.8b 0E942818 trn1 v24.2s, v0.2s, v20.2s 0E8428F8 trn1 v24.2s, v7.2s, v4.2s 4E982980 trn1 v0.4s, v12.4s, v24.4s 0E412B5D trn1 v29.4h, v26.4h, v1.4h // TRN2_asimdperm_only 0x001110xx0xxxxx011010xxxxxxxxxx 4ECE6BC6 trn2 v6.2d, v30.2d, v14.2d 0E116816 trn2 v22.8b, v0.8b, v17.8b 0E96692D trn2 v13.2s, v9.2s, v22.2s 0E0368B7 trn2 v23.8b, v5.8b, v3.8b 4ED86901 trn2 v1.2d, v8.2d, v24.2d 0E0169CC trn2 v12.8b, v14.8b, v1.8b 4E016B5D trn2 v29.16b, v26.16b, v1.16b 4EDD6B5F trn2 v31.2d, v26.2d, v29.2d 4E106A7C trn2 v28.16b, v19.16b, v16.16b 0E906A83 trn2 v3.2s, v20.2s, v16.2s 0E1C6BBD trn2 v29.8b, v29.8b, v28.8b 0E1B6B5F trn2 v31.8b, v26.8b, v27.8b 0E4D6A49 trn2 v9.4h, v18.4h, v13.4h 0E9A69D9 trn2 v25.2s, v14.2s, v26.2s 0E4A697A trn2 v26.4h, v11.4h, v10.4h 0E586856 trn2 v22.4h, v2.4h, v24.4h // TSB_HC_hints 110101010000001100100010xxxxxxxx D503225F tsb csync // TST_ANDS_32S_log_imm 0111001000xxxxxxxxxxxxxxxxxxxxxx 723C79BF tst w13, #0xfffffff7 72242DFF tst w15, #0xf00000ff 7214F1DF tst w14, #0x55555555 722E209F tst w4, #0x7fc0000 72081EDF tst w22, #0xff000000 723DD75F tst w26, #0xf9f9f9f9 721F939F tst w28, #0x3e003e 72012EFF tst w23, #0x800007ff 72071CBF tst w5, #0xfe000001 722A6BBF tst w29, #0xffc1ffff 7211A91F tst w8, #0x83ff83ff 7203B5DF tst w14, #0xe7ffe7ff 722B4E7F tst w19, #0xffe001ff 72038D7F tst w11, #0xe001e001 720E66DF tst w22, #0xfffc0fff 7217063F tst w17, #0x600 // TST_ANDS_32_log_shift 01101010xx0xxxxxxxxxxxxxxxxxxxxx 6A400A5F tst w18, w0, lsr #0x2 6A9106FF tst w23, w17, asr #0x1 6A8550DF tst w6, w5, asr #0x14 6A914AFF tst w23, w17, asr #0x12 6ACC675F tst w26, w12, ror #0x19 6A81565F tst w18, w1, asr #0x15 6A457BFF tst wzr, w5, lsr #0x1e 6A072DFF tst w15, w7, lsl #0xb 6A411E1F tst w16, w1, lsr #0x7 6A4D3B1F tst w24, w13, lsr #0xe 6A0E39DF tst w14, w14, lsl #0xe 6AC93E9F tst w20, w9, ror #0xf 6A94091F tst w8, w20, asr #0x2 6A591CBF tst w5, w25, lsr #0x7 6A5F00BF tst w5, wzr, lsr #0x0 6ACE64FF tst w7, w14, ror #0x19 // TST_ANDS_64S_log_imm 111100100xxxxxxxxxxxxxxxxxxxxxxx F24A0E9F tst x20, #0x3c0000000000000 F2643E3F tst x17, #0xffff0000000 F274913F tst x9, #0x1fffffffff000 F206441F tst x0, #0xfc000ffffc000fff F251271F tst x24, #0x1ff800000000000 F2505BDF tst x30, #0xffff00000000007f F21D5E5F tst x18, #0x7fffff807fffff8 F2669A5F tst x18, #0xfffffffffc000001 F2133AFF tst x23, #0xfffe0000fffe000 F2280C3F tst x1, #0xf0000000f000000 F2313C1F tst x0, #0x7fff80007fff8000 F254BCDF tst x6, #0xfffff0000fffffff F25E643F tst x1, #0xffffffc00000000 F2198BDF tst x30, #0x380038003800380 F214605F tst x2, #0xfffff01ffffff01f F2025D5F tst x10, #0xc03fffffc03fffff // TST_ANDS_64_log_shift 11101010xx0xxxxxxxxxxxxxxxx11111 EA863DFF tst x15, x6, asr #0xf EA101BBF tst x29, x16, lsl #0x6 EA5C57DF tst x30, x28, lsr #0x15 EA4874DF tst x6, x8, lsr #0x1d EA0D415F tst x10, x13, lsl #0x10 EAD2C47F tst x3, x18, ror #0x31 EA523D1F tst x8, x18, lsr #0xf EA1AD79F tst x28, x26, lsl #0x35 EAD6899F tst x12, x22, ror #0x22 EAC2081F tst x0, x2, ror #0x2 EA12405F tst x2, x18, lsl #0x10 EA9E6EBF tst x21, x30, asr #0x1b EA1E217F tst x11, x30, lsl #0x8 EA83FDFF tst x15, x3, asr #0x3f EACEC5DF tst x14, x14, ror #0x31 EA8881DF tst x14, x8, asr #0x20 // UABAL_asimddiff_L 0x101110xx1xxxxx010100xxxxxxxxxx 6E2C53D9 uabal2 v25.8h, v30.16b, v12.16b 6E3851B8 uabal2 v24.8h, v13.16b, v24.16b 2E24522E uabal v14.8h, v17.8b, v4.8b 2E605112 uabal v18.4s, v8.4h, v0.4h 6EA15032 uabal2 v18.2d, v1.4s, v1.4s 6E7451E0 uabal2 v0.4s, v15.8h, v20.8h 6E2951DD uabal2 v29.8h, v14.16b, v9.16b 2E3252C7 uabal v7.8h, v22.8b, v18.8b 6EBB5238 uabal2 v24.2d, v17.4s, v27.4s 6E7F51F8 uabal2 v24.4s, v15.8h, v31.8h 2E2D5024 uabal v4.8h, v1.8b, v13.8b 2EB65325 uabal v5.2d, v25.2s, v22.2s 6E3B5085 uabal2 v5.8h, v4.16b, v27.16b 6E7E5162 uabal2 v2.4s, v11.8h, v30.8h 6E3F522B uabal2 v11.8h, v17.16b, v31.16b 2E365073 uabal v19.8h, v3.8b, v22.8b // UABA_asimdsame_only 0x101110xx1xxxxx011111xxxxxxxxxx 2E3C7C92 uaba v18.8b, v4.8b, v28.8b 6E327E3F uaba v31.16b, v17.16b, v18.16b 2EA57E76 uaba v22.2s, v19.2s, v5.2s 6EAE7D7C uaba v28.4s, v11.4s, v14.4s 2E207FE2 uaba v2.8b, v31.8b, v0.8b 6E757CA3 uaba v3.8h, v5.8h, v21.8h 6E7A7DE1 uaba v1.8h, v15.8h, v26.8h 2E357ED4 uaba v20.8b, v22.8b, v21.8b 2E307F2F uaba v15.8b, v25.8b, v16.8b 6E697FD4 uaba v20.8h, v30.8h, v9.8h 2E727F44 uaba v4.4h, v26.4h, v18.4h 2E2C7FCD uaba v13.8b, v30.8b, v12.8b 6EBF7E74 uaba v20.4s, v19.4s, v31.4s 6E7E7E37 uaba v23.8h, v17.8h, v30.8h 2E717E5A uaba v26.4h, v18.4h, v17.4h 2E707DD7 uaba v23.4h, v14.4h, v16.4h // UABDL_asimddiff_L 0x101110xx1xxxxx011100xxxxxxxxxx 6E67706C uabdl2 v12.4s, v3.8h, v7.8h 6EA97201 uabdl2 v1.2d, v16.4s, v9.4s 6E3472CF uabdl2 v15.8h, v22.16b, v20.16b 2E3C7078 uabdl v24.8h, v3.8b, v28.8b 2E347379 uabdl v25.8h, v27.8b, v20.8b 6EA37164 uabdl2 v4.2d, v11.4s, v3.4s 2EA67383 uabdl v3.2d, v28.2s, v6.2s 2E2E7063 uabdl v3.8h, v3.8b, v14.8b 2E6B70A9 uabdl v9.4s, v5.4h, v11.4h 6E20737E uabdl2 v30.8h, v27.16b, v0.16b 6E6C7236 uabdl2 v22.4s, v17.8h, v12.8h 6E3572E2 uabdl2 v2.8h, v23.16b, v21.16b 2E7B7167 uabdl v7.4s, v11.4h, v27.4h 6E72710C uabdl2 v12.4s, v8.8h, v18.8h 2E6171B8 uabdl v24.4s, v13.4h, v1.4h 6E227233 uabdl2 v19.8h, v17.16b, v2.16b // UABD_asimdsame_only 0x101110xx1xxxxx011101xxxxxxxxxx 2E367437 uabd v23.8b, v1.8b, v22.8b 6E2F770F uabd v15.16b, v24.16b, v15.16b 2EA674F0 uabd v16.2s, v7.2s, v6.2s 2EA477DD uabd v29.2s, v30.2s, v4.2s 2EAD7420 uabd v0.2s, v1.2s, v13.2s 6E61748F uabd v15.8h, v4.8h, v1.8h 2EB874D4 uabd v20.2s, v6.2s, v24.2s 2E2774A8 uabd v8.8b, v5.8b, v7.8b 2E79771F uabd v31.4h, v24.4h, v25.4h 2E6877D4 uabd v20.4h, v30.4h, v8.4h 6E6F77B2 uabd v18.8h, v29.8h, v15.8h 2E7975B1 uabd v17.4h, v13.4h, v25.4h 6EAD7678 uabd v24.4s, v19.4s, v13.4s 2E3F7534 uabd v20.8b, v9.8b, v31.8b 2EBD77D0 uabd v16.2s, v30.2s, v29.2s 2E677531 uabd v17.4h, v9.4h, v7.4h // UADALP_asimdmisc_P 0x101110xx100000011010xxxxxxxxxx 2E2069E0 uadalp v0.4h, v15.8b 2E206857 uadalp v23.4h, v2.8b 2E6068F5 uadalp v21.2s, v7.4h 6EA06869 uadalp v9.2d, v3.4s 2E606B8B uadalp v11.2s, v28.4h 2E206BB2 uadalp v18.4h, v29.8b 6E606B84 uadalp v4.4s, v28.8h 2E60685B uadalp v27.2s, v2.4h 6E206BDB uadalp v27.8h, v30.16b 2E206B8C uadalp v12.4h, v28.8b 6EA0691B uadalp v27.2d, v8.4s 6E206ABE uadalp v30.8h, v21.16b 2E206B9E uadalp v30.4h, v28.8b 6E206AE1 uadalp v1.8h, v23.16b 6E206B6B uadalp v11.8h, v27.16b 6EA068F1 uadalp v17.2d, v7.4s // UADDLP_asimdmisc_P 0x101110xx100000001010xxxxxxxxxx 6EA0290B uaddlp v11.2d, v8.4s 2E602A49 uaddlp v9.2s, v18.4h 2E60296D uaddlp v13.2s, v11.4h 6EA0282F uaddlp v15.2d, v1.4s 2E602AC9 uaddlp v9.2s, v22.4h 6EA029FF uaddlp v31.2d, v15.4s 2E202947 uaddlp v7.4h, v10.8b 6EA02954 uaddlp v20.2d, v10.4s 6E602A63 uaddlp v3.4s, v19.8h 6E2029F3 uaddlp v19.8h, v15.16b 6EA02943 uaddlp v3.2d, v10.4s 2E602864 uaddlp v4.2s, v3.4h 2EA02A47 uaddlp v7.1d, v18.2s 2EA02A34 uaddlp v20.1d, v17.2s 6E602895 uaddlp v21.4s, v4.8h 6EA02948 uaddlp v8.2d, v10.4s // UADDLV_asimdall_only 0x101110xx110000001110xxxxxxxxxx 2E303A55 uaddlv h21, v18.8b 2E303892 uaddlv h18, v4.8b 6E7038E0 uaddlv s0, v7.8h 6E703A9F uaddlv s31, v20.8h 6E7038DE uaddlv s30, v6.8h 2E703A29 uaddlv s9, v17.4h 2E303920 uaddlv h0, v9.8b 6E303834 uaddlv h20, v1.16b 2E303BA9 uaddlv h9, v29.8b 2E303960 uaddlv h0, v11.8b 2E703BC3 uaddlv s3, v30.4h 6E3039AF uaddlv h15, v13.16b 6E303905 uaddlv h5, v8.16b 6E703AAB uaddlv s11, v21.8h 6EB03AAF uaddlv d15, v21.4s 2E303B9A uaddlv h26, v28.8b // UADDL_asimddiff_L 0x101110xx1xxxxx000000xxxxxxxxxx 2E3602CD uaddl v13.8h, v22.8b, v22.8b 2E7800FE uaddl v30.4s, v7.4h, v24.4h 2EB8024E uaddl v14.2d, v18.2s, v24.2s 6EAD0179 uaddl2 v25.2d, v11.4s, v13.4s 6EAD039F uaddl2 v31.2d, v28.4s, v13.4s 6EB50094 uaddl2 v20.2d, v4.4s, v21.4s 6EA9016C uaddl2 v12.2d, v11.4s, v9.4s 6E7D0149 uaddl2 v9.4s, v10.8h, v29.8h 2E2000E8 uaddl v8.8h, v7.8b, v0.8b 6EB900ED uaddl2 v13.2d, v7.4s, v25.4s 6EB9035B uaddl2 v27.2d, v26.4s, v25.4s 6EA90088 uaddl2 v8.2d, v4.4s, v9.4s 6E75011D uaddl2 v29.4s, v8.8h, v21.8h 2E2C03FD uaddl v29.8h, v31.8b, v12.8b 2E7702D8 uaddl v24.4s, v22.4h, v23.4h 6EA5000D uaddl2 v13.2d, v0.4s, v5.4s // UADDW_asimddiff_W 0x101110xx1xxxxx000100xxxxxxxxxx 6EA71216 uaddw2 v22.2d, v16.2d, v7.4s 6EA2101E uaddw2 v30.2d, v0.2d, v2.4s 2EB910ED uaddw v13.2d, v7.2d, v25.2s 2EA610B0 uaddw v16.2d, v5.2d, v6.2s 2EA61074 uaddw v20.2d, v3.2d, v6.2s 6E6B10B8 uaddw2 v24.4s, v5.4s, v11.8h 2EAA12D6 uaddw v22.2d, v22.2d, v10.2s 2E2F1326 uaddw v6.8h, v25.8h, v15.8b 2EAA1172 uaddw v18.2d, v11.2d, v10.2s 6E2D11C5 uaddw2 v5.8h, v14.8h, v13.16b 6E6E1075 uaddw2 v21.4s, v3.4s, v14.8h 6EA51277 uaddw2 v23.2d, v19.2d, v5.4s 2EA81182 uaddw v2.2d, v12.2d, v8.2s 6E6B1357 uaddw2 v23.4s, v26.4s, v11.8h 6EA910DD uaddw2 v29.2d, v6.2d, v9.4s 6EA81291 uaddw2 v17.2d, v20.2d, v8.4s // UBFIZ_UBFM_32M_bitfield 01010011000xxxxx0xxxxxxxxxxxxxxx 531F17E4 ubfiz w4, wzr, #0x1, #0x6 531A30F8 ubfiz w24, w7, #0x6, #0xd 531E4687 ubfiz w7, w20, #0x2, #0x12 53130E1B ubfiz w27, w16, #0xd, #0x4 531117A5 ubfiz w5, w29, #0xf, #0x6 531340B3 ubfiz w19, w5, #0xd, #0x11 530E2FFA ubfiz w26, wzr, #0x12, #0xc 53101EC9 ubfiz w9, w22, #0x10, #0x8 53113D00 ubfiz w0, w8, #0xf, #0x10 530F0F90 ubfiz w16, w28, #0x11, #0x4 531C48B7 ubfiz w23, w5, #0x4, #0x13 53174BE0 ubfiz w0, wzr, #0x9, #0x13 531B1AE7 ubfiz w7, w23, #0x5, #0x7 53164448 ubfiz w8, w2, #0xa, #0x12 5310188A ubfiz w10, w4, #0x10, #0x7 530D0BA8 ubfiz w8, w29, #0x13, #0x3 // UBFIZ_UBFM_64M_bitfield 1101001101xxxxxxxxxxxxxxxxxxxxxx D36D0D80 ubfiz x0, x12, #0x13, #0x4 D36B53DA ubfiz x26, x30, #0x15, #0x15 D37512C4 ubfiz x4, x22, #0xb, #0x5 D363591B ubfiz x27, x8, #0x1d, #0x17 D37E79B0 ubfiz x16, x13, #0x2, #0x1f D3777D22 ubfiz x2, x9, #0x9, #0x20 D35C38C5 ubfiz x5, x6, #0x24, #0xf D3553423 ubfiz x3, x1, #0x2b, #0xe D3521F8B ubfiz x11, x28, #0x2e, #0x8 D35F410D ubfiz x13, x8, #0x21, #0x11 D36250DD ubfiz x29, x6, #0x1e, #0x15 D3666004 ubfiz x4, x0, #0x1a, #0x19 D3687887 ubfiz x7, x4, #0x18, #0x1f D35A4F24 ubfiz x4, x25, #0x26, #0x14 D36533AA ubfiz x10, x29, #0x1b, #0xd D35D49CB ubfiz x11, x14, #0x23, #0x13 // UBFX_UBFM_32M_bitfield 01010011000xxxxxxxxxxxxxxxxxxxxx 53035FFA ubfx w26, wzr, #0x3, #0x15 531C7A16 ubfx w22, w16, #0x1c, #0x3 530960C0 ubfx w0, w6, #0x9, #0x10 53196894 ubfx w20, w4, #0x19, #0x2 531C71D2 ubfx w18, w14, #0x1c, #0x1 5310755A ubfx w26, w10, #0x10, #0xe 5303298B ubfx w11, w12, #0x3, #0x8 5302507B ubfx w27, w3, #0x2, #0x13 53196D16 ubfx w22, w8, #0x19, #0x3 53033A0D ubfx w13, w16, #0x3, #0xc 5309469B ubfx w27, w20, #0x9, #0x9 5306753A ubfx w26, w9, #0x6, #0x18 53107ADF ubfx wzr, w22, #0x10, #0xf 53073C61 ubfx w1, w3, #0x7, #0x9 530B7AEF ubfx w15, w23, #0xb, #0x14 53176B34 ubfx w20, w25, #0x17, #0x4 // UBFX_UBFM_64M_bitfield 1101001101xxxxxxxxxxxxxxxxxxxxxx D34C4EE0 ubfx x0, x23, #0xc, #0x8 D364D76D ubfx x13, x27, #0x24, #0x12 D347CFEB ubfx x11, xzr, #0x7, #0x2d D348EDD7 ubfx x23, x14, #0x8, #0x34 D359D2A8 ubfx x8, x21, #0x19, #0x1c D370DEE9 ubfx x9, x23, #0x30, #0x8 D3453CAB ubfx x11, x5, #0x5, #0xb D354EB9D ubfx x29, x28, #0x14, #0x27 D3488DE0 ubfx x0, x15, #0x8, #0x1c D34A4545 ubfx x5, x10, #0xa, #0x8 D372EE61 ubfx x1, x19, #0x32, #0xa D34BF4E3 ubfx x3, x7, #0xb, #0x33 D375E15B ubfx x27, x10, #0x35, #0x4 D3519DA8 ubfx x8, x13, #0x11, #0x17 D34DE520 ubfx x0, x9, #0xd, #0x2d D3539E31 ubfx x17, x17, #0x13, #0x15 // UCVTF_D32_float2fix 00011110010000111xxxxxxxxxxxxxxx 1E438472 ucvtf d18, w3, #0x1f 1E4390B9 ucvtf d25, w5, #0x1c 1E43F936 ucvtf d22, w9, #0x2 1E43F78C ucvtf d12, w28, #0x3 1E43C062 ucvtf d2, w3, #0x10 1E4381CD ucvtf d13, w14, #0x20 1E43F5CF ucvtf d15, w14, #0x3 1E43C6C2 ucvtf d2, w22, #0xf 1E43A8FF ucvtf d31, w7, #0x16 1E43E3C5 ucvtf d5, w30, #0x8 1E438660 ucvtf d0, w19, #0x1f 1E4389BD ucvtf d29, w13, #0x1e 1E43BEF6 ucvtf d22, w23, #0x11 1E43BFEB ucvtf d11, wzr, #0x11 1E43A15B ucvtf d27, w10, #0x18 1E43F6D6 ucvtf d22, w22, #0x3 // UCVTF_D32_float2int 0001111001100011000000xxxxxxxxxx 1E6300E0 ucvtf d0, w7 1E630333 ucvtf d19, w25 1E6300B3 ucvtf d19, w5 1E63021A ucvtf d26, w16 1E6303BA ucvtf d26, w29 1E6302AB ucvtf d11, w21 1E63013C ucvtf d28, w9 1E63018B ucvtf d11, w12 1E6301CC ucvtf d12, w14 1E6303CB ucvtf d11, w30 1E630066 ucvtf d6, w3 1E6301E3 ucvtf d3, w15 1E630241 ucvtf d1, w18 1E630198 ucvtf d24, w12 1E6303BB ucvtf d27, w29 1E6303BC ucvtf d28, w29 // UCVTF_D64_float2fix 1001111001000011xxxxxxxxxxxxxxxx 9E437753 ucvtf d19, x26, #0x23 9E432189 ucvtf d9, x12, #0x38 9E43F013 ucvtf d19, x0, #0x4 9E43654F ucvtf d15, x10, #0x27 9E435864 ucvtf d4, x3, #0x2a 9E43721A ucvtf d26, x16, #0x24 9E43BB98 ucvtf d24, x28, #0x12 9E43AA7A ucvtf d26, x19, #0x16 9E43FAF5 ucvtf d21, x23, #0x2 9E432643 ucvtf d3, x18, #0x37 9E430C5C ucvtf d28, x2, #0x3d 9E43D8F9 ucvtf d25, x7, #0xa 9E43AE4F ucvtf d15, x18, #0x15 9E434612 ucvtf d18, x16, #0x2f 9E43FA76 ucvtf d22, x19, #0x2 9E43AAFE ucvtf d30, x23, #0x16 // UCVTF_D64_float2int 1001111001100011000000xxxxxxxxxx 9E63027E ucvtf d30, x19 9E63038A ucvtf d10, x28 9E6302B0 ucvtf d16, x21 9E630312 ucvtf d18, x24 9E63010C ucvtf d12, x8 9E63016B ucvtf d11, x11 9E63023A ucvtf d26, x17 9E630072 ucvtf d18, x3 9E6301A9 ucvtf d9, x13 9E6302A0 ucvtf d0, x21 9E6301F1 ucvtf d17, x15 9E630232 ucvtf d18, x17 9E6303CA ucvtf d10, x30 9E6301C2 ucvtf d2, x14 9E630071 ucvtf d17, x3 9E630258 ucvtf d24, x18 // UCVTF_H32_float2fix 00011110110000111xxxxxxxxxxxxxxx 1EC3B7A3 ucvtf h3, w29, #0x13 1EC38CF0 ucvtf h16, w7, #0x1d 1EC3BE3B ucvtf h27, w17, #0x11 1EC3BCF5 ucvtf h21, w7, #0x11 1EC3EFD9 ucvtf h25, w30, #0x5 1EC3C2AE ucvtf h14, w21, #0x10 1EC3A0CD ucvtf h13, w6, #0x18 1EC3B9D6 ucvtf h22, w14, #0x12 1EC38BB4 ucvtf h20, w29, #0x1e 1EC394B7 ucvtf h23, w5, #0x1b 1EC3959B ucvtf h27, w12, #0x1b 1EC3CF4E ucvtf h14, w26, #0xd 1EC3A9C3 ucvtf h3, w14, #0x16 1EC3ED11 ucvtf h17, w8, #0x5 1EC3FEE4 ucvtf h4, w23, #0x1 1EC39553 ucvtf h19, w10, #0x1b // UCVTF_H32_float2int 0001111011100011000000xxxxxxxxxx 1EE30185 ucvtf h5, w12 1EE301FE ucvtf h30, w15 1EE301A7 ucvtf h7, w13 1EE3011A ucvtf h26, w8 1EE303BF ucvtf h31, w29 1EE30170 ucvtf h16, w11 1EE300B0 ucvtf h16, w5 1EE303FC ucvtf h28, wzr 1EE3006A ucvtf h10, w3 1EE30091 ucvtf h17, w4 1EE302E2 ucvtf h2, w23 1EE3017B ucvtf h27, w11 1EE3017F ucvtf h31, w11 1EE3009D ucvtf h29, w4 1EE30201 ucvtf h1, w16 1EE3016E ucvtf h14, w11 // UCVTF_H64_float2fix 1001111011000011xxxxxxxxxxxxxxxx 9EC3A9A5 ucvtf h5, x13, #0x16 9EC3924C ucvtf h12, x18, #0x1c 9EC3548C ucvtf h12, x4, #0x2b 9EC3EDC5 ucvtf h5, x14, #0x5 9EC301E8 ucvtf h8, x15, #0x40 9EC3E117 ucvtf h23, x8, #0x8 9EC327DA ucvtf h26, x30, #0x37 9EC3AE5A ucvtf h26, x18, #0x15 9EC3ECA3 ucvtf h3, x5, #0x5 9EC3199D ucvtf h29, x12, #0x3a 9EC35DBF ucvtf h31, x13, #0x29 9EC360FD ucvtf h29, x7, #0x28 9EC353A3 ucvtf h3, x29, #0x2c 9EC3C7D6 ucvtf h22, x30, #0xf 9EC3F0DC ucvtf h28, x6, #0x4 9EC33801 ucvtf h1, x0, #0x32 // UCVTF_H64_float2int 1001111011100011000000xxxxxxxxxx 9EE30043 ucvtf h3, x2 9EE302B2 ucvtf h18, x21 9EE300F2 ucvtf h18, x7 9EE303BB ucvtf h27, x29 9EE301F2 ucvtf h18, x15 9EE301F5 ucvtf h21, x15 9EE3039F ucvtf h31, x28 9EE30306 ucvtf h6, x24 9EE30370 ucvtf h16, x27 9EE30329 ucvtf h9, x25 9EE302F5 ucvtf h21, x23 9EE301DE ucvtf h30, x14 9EE3025A ucvtf h26, x18 9EE30025 ucvtf h5, x1 9EE30141 ucvtf h1, x10 9EE300D4 ucvtf h20, x6 // UCVTF_S32_float2fix 00011110000000111xxxxxxxxxxxxxxx 1E03FEC1 ucvtf s1, w22, #0x1 1E03E306 ucvtf s6, w24, #0x8 1E03DBE5 ucvtf s5, wzr, #0xa 1E03BA90 ucvtf s16, w20, #0x12 1E03BC0D ucvtf s13, w0, #0x11 1E03C6BE ucvtf s30, w21, #0xf 1E03AF60 ucvtf s0, w27, #0x15 1E038E7E ucvtf s30, w19, #0x1d 1E03CB60 ucvtf s0, w27, #0xe 1E03EA42 ucvtf s2, w18, #0x6 1E03B7AD ucvtf s13, w29, #0x13 1E03FC39 ucvtf s25, w1, #0x1 1E038C49 ucvtf s9, w2, #0x1d 1E039E44 ucvtf s4, w18, #0x19 1E03F91F ucvtf s31, w8, #0x2 1E038CBE ucvtf s30, w5, #0x1d // UCVTF_S32_float2int 0001111000100011000000xxxxxxxxxx 1E23031D ucvtf s29, w24 1E2300E6 ucvtf s6, w7 1E2302FF ucvtf s31, w23 1E230015 ucvtf s21, w0 1E23032C ucvtf s12, w25 1E230009 ucvtf s9, w0 1E2302AD ucvtf s13, w21 1E23029D ucvtf s29, w20 1E23026C ucvtf s12, w19 1E2303B3 ucvtf s19, w29 1E230254 ucvtf s20, w18 1E2300E8 ucvtf s8, w7 1E230170 ucvtf s16, w11 1E23028A ucvtf s10, w20 1E230267 ucvtf s7, w19 1E2302B0 ucvtf s16, w21 // UCVTF_S64_float2fix 1001111000000011xxxxxxxxxxxxxxxx 9E03C762 ucvtf s2, x27, #0xf 9E03C768 ucvtf s8, x27, #0xf 9E03F7AC ucvtf s12, x29, #0x3 9E03F668 ucvtf s8, x19, #0x3 9E03304B ucvtf s11, x2, #0x34 9E033F11 ucvtf s17, x24, #0x31 9E03133B ucvtf s27, x25, #0x3c 9E03521B ucvtf s27, x16, #0x2c 9E031F82 ucvtf s2, x28, #0x39 9E034CDA ucvtf s26, x6, #0x2d 9E03B1F6 ucvtf s22, x15, #0x14 9E032FDD ucvtf s29, x30, #0x35 9E0369C0 ucvtf s0, x14, #0x26 9E0300E2 ucvtf s2, x7, #0x40 9E03FC1F ucvtf s31, x0, #0x1 9E034175 ucvtf s21, x11, #0x30 // UCVTF_S64_float2int 1001111000100011000000xxxxxxxxxx 9E2300BD ucvtf s29, x5 9E230117 ucvtf s23, x8 9E2301D6 ucvtf s22, x14 9E23016A ucvtf s10, x11 9E2302BC ucvtf s28, x21 9E2302F3 ucvtf s19, x23 9E230236 ucvtf s22, x17 9E23008F ucvtf s15, x4 9E2302BE ucvtf s30, x21 9E230198 ucvtf s24, x12 9E230394 ucvtf s20, x28 9E230396 ucvtf s22, x28 9E2302AB ucvtf s11, x21 9E230049 ucvtf s9, x2 9E230266 ucvtf s6, x19 9E2302FA ucvtf s26, x23 // UCVTF_asimdmisc_R 0x1011100x100001110110xxxxxxxxxx 6E61D96B ucvtf v11.2d, v11.2d 2E21DBCF ucvtf v15.2s, v30.2s 2E21DA5F ucvtf v31.2s, v18.2s 6E21D927 ucvtf v7.4s, v9.4s 2E21D936 ucvtf v22.2s, v9.2s 2E21D949 ucvtf v9.2s, v10.2s 2E21D925 ucvtf v5.2s, v9.2s 6E21D96F ucvtf v15.4s, v11.4s 6E21DA96 ucvtf v22.4s, v20.4s 6E21D82B ucvtf v11.4s, v1.4s 6E61DB7C ucvtf v28.2d, v27.2d 6E21D90C ucvtf v12.4s, v8.4s 2E21D974 ucvtf v20.2s, v11.2s 6E21DA3A ucvtf v26.4s, v17.4s 2E21DBD3 ucvtf v19.2s, v30.2s 6E61DA74 ucvtf v20.2d, v19.2d // UCVTF_asimdmiscfp16_R 0x1011100111100111011xxxxxxxxxxx 2E79DBBF ucvtf v31.4h, v29.4h 2E79DBA7 ucvtf v7.4h, v29.4h 6E79DB05 ucvtf v5.8h, v24.8h 6E79D891 ucvtf v17.8h, v4.8h 2E79DBB3 ucvtf v19.4h, v29.4h 6E79DA49 ucvtf v9.8h, v18.8h 2E79D947 ucvtf v7.4h, v10.4h 2E79DB1E ucvtf v30.4h, v24.4h 6E79DAE7 ucvtf v7.8h, v23.8h 2E79D9C3 ucvtf v3.4h, v14.4h 6E79DA81 ucvtf v1.8h, v20.8h 6E79D905 ucvtf v5.8h, v8.8h 2E79DA63 ucvtf v3.4h, v19.4h 6E79DAE2 ucvtf v2.8h, v23.8h 6E79D8DE ucvtf v30.8h, v6.8h 2E79D95B ucvtf v27.4h, v10.4h // UCVTF_asimdshf_C 0x1011110xxxxxxx1110xxxxxxxxxxxx 2F27E5CF ucvtf v15.2s, v14.2s, #0x19 2F3FE4CD ucvtf v13.2s, v6.2s, #0x1 2F2EE6E4 ucvtf v4.2s, v23.2s, #0x12 6F2BE762 ucvtf v2.4s, v27.4s, #0x15 6F3AE57D ucvtf v29.4s, v11.4s, #0x6 6F7AE746 ucvtf v6.2d, v26.2d, #0x6 6F46E623 ucvtf v3.2d, v17.2d, #0x3a 2F3FE696 ucvtf v22.2s, v20.2s, #0x1 6F32E7B7 ucvtf v23.4s, v29.4s, #0xe 6F45E6B3 ucvtf v19.2d, v21.2d, #0x3b 6F56E645 ucvtf v5.2d, v18.2d, #0x2a 6F55E42E ucvtf v14.2d, v1.2d, #0x2b 6F42E4DB ucvtf v27.2d, v6.2d, #0x3e 6F53E476 ucvtf v22.2d, v3.2d, #0x2d 2F1DE728 ucvtf v8.4h, v25.4h, #0x3 2F2BE7E3 ucvtf v3.2s, v31.2s, #0x15 // UCVTF_asisdmisc_R 011111100x100001110110xxxxxxxxxx 7E21D8F0 ucvtf s16, s7 7E61DBDA ucvtf d26, d30 7E21DA66 ucvtf s6, s19 7E21D80D ucvtf s13, s0 7E61DB5C ucvtf d28, d26 7E61D979 ucvtf d25, d11 7E61DAB8 ucvtf d24, d21 7E21DA47 ucvtf s7, s18 7E21DB3F ucvtf s31, s25 7E21D9D5 ucvtf s21, s14 7E61DAC8 ucvtf d8, d22 7E61DB26 ucvtf d6, d25 7E61DA3D ucvtf d29, d17 7E61D896 ucvtf d22, d4 7E21DAA1 ucvtf s1, s21 7E21D9BF ucvtf s31, s13 // UCVTF_asisdmiscfp16_R 0111111001111001110110xxxxxxxxxx 7E79D81E ucvtf h30, h0 7E79D8D6 ucvtf h22, h6 7E79D847 ucvtf h7, h2 7E79DA58 ucvtf h24, h18 7E79DAA8 ucvtf h8, h21 7E79DB5A ucvtf h26, h26 7E79DB13 ucvtf h19, h24 7E79D840 ucvtf h0, h2 7E79DB20 ucvtf h0, h25 7E79D936 ucvtf h22, h9 7E79DB74 ucvtf h20, h27 7E79D9A6 ucvtf h6, h13 7E79D9E6 ucvtf h6, h15 7E79DAE9 ucvtf h9, h23 7E79DBFB ucvtf h27, h31 7E79DA95 ucvtf h21, h20 // UCVTF_asisdshf_C 011111110xxxxxxx111001xxxxxxxxxx 7F56E434 ucvtf d20, d1, #0x2a 7F1CE78D ucvtf h13, h28, #0x4 7F3EE414 ucvtf s20, s0, #0x2 7F1DE556 ucvtf h22, h10, #0x3 7F34E4FC ucvtf s28, s7, #0xc 7F2AE6C2 ucvtf s2, s22, #0x16 7F32E7C9 ucvtf s9, s30, #0xe 7F76E59A ucvtf d26, d12, #0xa 7F54E57F ucvtf d31, d11, #0x2c 7F6AE5D8 ucvtf d24, d14, #0x16 7F72E45B ucvtf d27, d2, #0xe 7F3EE616 ucvtf s22, s16, #0x2 7F6AE494 ucvtf d20, d4, #0x16 7F60E5D8 ucvtf d24, d14, #0x20 7F4AE4EE ucvtf d14, d7, #0x36 7F11E60A ucvtf h10, h16, #0xf // UDIV_32_dp_2src 00011010110xxxxx000010xxxxxxxxxx 1ACC0866 udiv w6, w3, w12 1AC70900 udiv w0, w8, w7 1AD40848 udiv w8, w2, w20 1AD00848 udiv w8, w2, w16 1AD50AC8 udiv w8, w22, w21 1ACF0AEA udiv w10, w23, w15 1AD80809 udiv w9, w0, w24 1ACF0B07 udiv w7, w24, w15 1AC2098D udiv w13, w12, w2 1AD70919 udiv w25, w8, w23 1ADC0BC4 udiv w4, w30, w28 1AD70B02 udiv w2, w24, w23 1AC60A03 udiv w3, w16, w6 1ACD09B7 udiv w23, w13, w13 1AD509C4 udiv w4, w14, w21 1AC70846 udiv w6, w2, w7 // UDIV_64_dp_2src 10011010110xxxxx000010xxxxxxxxxx 9ADF09D9 udiv x25, x14, xzr 9AC608B2 udiv x18, x5, x6 9ADC0A47 udiv x7, x18, x28 9ACD0891 udiv x17, x4, x13 9AD60B95 udiv x21, x28, x22 9AC30BB4 udiv x20, x29, x3 9ADC0979 udiv x25, x11, x28 9AC20992 udiv x18, x12, x2 9AD90878 udiv x24, x3, x25 9ADD0A91 udiv x17, x20, x29 9AC308CB udiv x11, x6, x3 9AC60B26 udiv x6, x25, x6 9AC30AF0 udiv x16, x23, x3 9AC4085D udiv x29, x2, x4 9AD408A7 udiv x7, x5, x20 9AC20A8E udiv x14, x20, x2 // UDOT_asimdelem_D 0x10111110xxxxxx1110x0xxxxxxxxxx 6FA7E0FA udot v26.4s, v7.16b, v7.4b[1] 2FA2EB20 udot v0.2s, v25.8b, v2.4b[3] 2F9EEAD4 udot v20.2s, v22.8b, v30.4b[2] 2F8BE98B udot v11.2s, v12.8b, v11.4b[2] 6FB9EADE udot v30.4s, v22.16b, v25.4b[3] 6F97EA8A udot v10.4s, v20.16b, v23.4b[2] 2FAAEAD1 udot v17.2s, v22.8b, v10.4b[3] 2F86E1BA udot v26.2s, v13.8b, v6.4b[0] 6F90E1D1 udot v17.4s, v14.16b, v16.4b[0] 2F95EB3C udot v28.2s, v25.8b, v21.4b[2] 6FA8E0EE udot v14.4s, v7.16b, v8.4b[1] 2F95E8FE udot v30.2s, v7.8b, v21.4b[2] 2FBCE814 udot v20.2s, v0.8b, v28.4b[3] 2F86E00C udot v12.2s, v0.8b, v6.4b[0] 6F92E38A udot v10.4s, v28.16b, v18.4b[0] 6F9DE893 udot v19.4s, v4.16b, v29.4b[2] // UDOT_asimdsame2_D 0x101110100xxxxx100101xxxxxxxxxx 6E9B97DB udot v27.4s, v30.16b, v27.16b 2E9D969F udot v31.2s, v20.8b, v29.8b 2E9097D5 udot v21.2s, v30.8b, v16.8b 2E9B95E7 udot v7.2s, v15.8b, v27.8b 2E9C9537 udot v23.2s, v9.8b, v28.8b 2E989783 udot v3.2s, v28.8b, v24.8b 6E949517 udot v23.4s, v8.16b, v20.16b 6E929546 udot v6.4s, v10.16b, v18.16b 6E8095E2 udot v2.4s, v15.16b, v0.16b 2E919432 udot v18.2s, v1.8b, v17.8b 2E9E9787 udot v7.2s, v28.8b, v30.8b 2E9C9562 udot v2.2s, v11.8b, v28.8b 2E999624 udot v4.2s, v17.8b, v25.8b 6E939590 udot v16.4s, v12.16b, v19.16b 2E8095DC udot v28.2s, v14.8b, v0.8b 2E95969D udot v29.2s, v20.8b, v21.8b // UHADD_asimdsame_only 0x101110xx1xxxxx0000xxxxxxxxxxxx 6E6204DB uhadd v27.8h, v6.8h, v2.8h 2E7B06C1 uhadd v1.4h, v22.4h, v27.4h 2EA106D4 uhadd v20.2s, v22.2s, v1.2s 6E270565 uhadd v5.16b, v11.16b, v7.16b 2E750751 uhadd v17.4h, v26.4h, v21.4h 6E7105C9 uhadd v9.8h, v14.8h, v17.8h 6E65048C uhadd v12.8h, v4.8h, v5.8h 2E730495 uhadd v21.4h, v4.4h, v19.4h 2E7104A6 uhadd v6.4h, v5.4h, v17.4h 2E3B0483 uhadd v3.8b, v4.8b, v27.8b 6EB1040D uhadd v13.4s, v0.4s, v17.4s 6E3505C9 uhadd v9.16b, v14.16b, v21.16b 2E3D0631 uhadd v17.8b, v17.8b, v29.8b 2E280719 uhadd v25.8b, v24.8b, v8.8b 2EB60610 uhadd v16.2s, v16.2s, v22.2s 2EB20572 uhadd v18.2s, v11.2s, v18.2s // UHSUB_asimdsame_only 0x101110xx1xxxxx001001xxxxxxxxxx 6E31242A uhsub v10.16b, v1.16b, v17.16b 2EAB266A uhsub v10.2s, v19.2s, v11.2s 2EAF244F uhsub v15.2s, v2.2s, v15.2s 6E3B26AC uhsub v12.16b, v21.16b, v27.16b 2E30241E uhsub v30.8b, v0.8b, v16.8b 6E6726E3 uhsub v3.8h, v23.8h, v7.8h 6E6225B0 uhsub v16.8h, v13.8h, v2.8h 2EA026A6 uhsub v6.2s, v21.2s, v0.2s 2E322555 uhsub v21.8b, v10.8b, v18.8b 2E3D25F0 uhsub v16.8b, v15.8b, v29.8b 2E642633 uhsub v19.4h, v17.4h, v4.4h 2EAC2450 uhsub v16.2s, v2.2s, v12.2s 2E66263A uhsub v26.4h, v17.4h, v6.4h 2E69260C uhsub v12.4h, v16.4h, v9.4h 6EB025C5 uhsub v5.4s, v14.4s, v16.4s 6EBE25AA uhsub v10.4s, v13.4s, v30.4s // UMADDL_64WA_dp_3src 10011011101xxxxx0xxxxxxxxxxxxxxx 9BB20467 umaddl x7, w3, w18, x1 9BBA1078 umaddl x24, w3, w26, x4 9BAB63C2 umaddl x2, w30, w11, x24 9BB91BD5 umaddl x21, w30, w25, x6 9BA97ADC umaddl x28, w22, w9, x30 9BB061C8 umaddl x8, w14, w16, x24 9BA34ECE umaddl x14, w22, w3, x19 9BBE33DB umaddl x27, w30, w30, x12 9BB335C0 umaddl x0, w14, w19, x13 9BA54E92 umaddl x18, w20, w5, x19 9BB80E37 umaddl x23, w17, w24, x3 9BA30D17 umaddl x23, w8, w3, x3 9BBC5B5D umaddl x29, w26, w28, x22 9BAB27D6 umaddl x22, w30, w11, x9 9BB5200F umaddl x15, w0, w21, x8 9BBF28A2 umaddl x2, w5, wzr, x10 // UMAXP_asimdsame_only 0x101110xx1xxxxx1010xxxxxxxxxxxx 2E72A7DD umaxp v29.4h, v30.4h, v18.4h 6E7AA5D5 umaxp v21.8h, v14.8h, v26.8h 6E26A78A umaxp v10.16b, v28.16b, v6.16b 6E29A46C umaxp v12.16b, v3.16b, v9.16b 2EB5A785 umaxp v5.2s, v28.2s, v21.2s 2E71A48F umaxp v15.4h, v4.4h, v17.4h 2E7DA45C umaxp v28.4h, v2.4h, v29.4h 2EB8A623 umaxp v3.2s, v17.2s, v24.2s 2E3BA5C5 umaxp v5.8b, v14.8b, v27.8b 6E25A741 umaxp v1.16b, v26.16b, v5.16b 6E3AA4A5 umaxp v5.16b, v5.16b, v26.16b 2EA7A5BD umaxp v29.2s, v13.2s, v7.2s 6E29A709 umaxp v9.16b, v24.16b, v9.16b 6E68A604 umaxp v4.8h, v16.8h, v8.8h 6E20A612 umaxp v18.16b, v16.16b, v0.16b 6E24A78D umaxp v13.16b, v28.16b, v4.16b // UMAXV_asimdall_only 0x101110xx110000101010xxxxxxxxxx 2E70A829 umaxv h9, v1.4h 6E30AB58 umaxv b24, v26.16b 6E30A9FF umaxv b31, v15.16b 6E70ABE1 umaxv h1, v31.8h 2E70A990 umaxv h16, v12.4h 2E70A98C umaxv h12, v12.4h 6EB0A952 umaxv s18, v10.4s 6EB0A9FC umaxv s28, v15.4s 2E70A965 umaxv h5, v11.4h 6E70A946 umaxv h6, v10.8h 6E30AA28 umaxv b8, v17.16b 2E30AA28 umaxv b8, v17.8b 6E70ABAF umaxv h15, v29.8h 2E30A9A6 umaxv b6, v13.8b 6E70A948 umaxv h8, v10.8h 6E30A992 umaxv b18, v12.16b // UMAX_asimdsame_only 0x101110xx1xxxxx0110xxxxxxxxxxxx 6E3C65FD umax v29.16b, v15.16b, v28.16b 6EBC6777 umax v23.4s, v27.4s, v28.4s 6EA06694 umax v20.4s, v20.4s, v0.4s 2EB86428 umax v8.2s, v1.2s, v24.2s 2E7B65C2 umax v2.4h, v14.4h, v27.4h 2E736593 umax v19.4h, v12.4h, v19.4h 6E3E6793 umax v19.16b, v28.16b, v30.16b 2E2467EC umax v12.8b, v31.8b, v4.8b 2EB464E3 umax v3.2s, v7.2s, v20.2s 2E2E6779 umax v25.8b, v27.8b, v14.8b 2EB56776 umax v22.2s, v27.2s, v21.2s 6E64656D umax v13.8h, v11.8h, v4.8h 6E2C664E umax v14.16b, v18.16b, v12.16b 2E7D640B umax v11.4h, v0.4h, v29.4h 6E796595 umax v21.8h, v12.8h, v25.8h 6E78654B umax v11.8h, v10.8h, v24.8h // UMINP_asimdsame_only 0x101110xx1xxxxx101011xxxxxxxxxx 6E3BAF1B uminp v27.16b, v24.16b, v27.16b 2EBDAD92 uminp v18.2s, v12.2s, v29.2s 6E6BAF5D uminp v29.8h, v26.8h, v11.8h 2E73AF18 uminp v24.4h, v24.4h, v19.4h 6E75AEC8 uminp v8.8h, v22.8h, v21.8h 6E2EAC21 uminp v1.16b, v1.16b, v14.16b 6E2FAF14 uminp v20.16b, v24.16b, v15.16b 6E6AAFB2 uminp v18.8h, v29.8h, v10.8h 6E3FAC57 uminp v23.16b, v2.16b, v31.16b 6E78AE61 uminp v1.8h, v19.8h, v24.8h 2E26AFE1 uminp v1.8b, v31.8b, v6.8b 6EB3ADD1 uminp v17.4s, v14.4s, v19.4s 2E3EAD1C uminp v28.8b, v8.8b, v30.8b 2E35AE6C uminp v12.8b, v19.8b, v21.8b 2E34AC76 uminp v22.8b, v3.8b, v20.8b 2EAEAD01 uminp v1.2s, v8.2s, v14.2s // UMINV_asimdall_only 0x101110xx110001101010xxxxxxxxxx 6E31A996 uminv b22, v12.16b 6E31AB66 uminv b6, v27.16b 6E31A8B1 uminv b17, v5.16b 6E31A9DC uminv b28, v14.16b 6E31AB1C uminv b28, v24.16b 6E71A9B4 uminv h20, v13.8h 6E31AA5E uminv b30, v18.16b 2E31AADE uminv b30, v22.8b 2E31AAEE uminv b14, v23.8b 6E71A9A2 uminv h2, v13.8h 6E31ABD8 uminv b24, v30.16b 6E71AB0A uminv h10, v24.8h 6E31AAF1 uminv b17, v23.16b 2E31AA4F uminv b15, v18.8b 6E31AB32 uminv b18, v25.16b 6E31A930 uminv b16, v9.16b // UMIN_asimdsame_only 0x101110xx1xxxxx011011xxxxxxxxxx 2EB46DD2 umin v18.2s, v14.2s, v20.2s 2EBE6D07 umin v7.2s, v8.2s, v30.2s 6EBA6C4A umin v10.4s, v2.4s, v26.4s 6E606F28 umin v8.8h, v25.8h, v0.8h 2E3B6E1D umin v29.8b, v16.8b, v27.8b 2E356C28 umin v8.8b, v1.8b, v21.8b 6E386C63 umin v3.16b, v3.16b, v24.16b 2E7B6C96 umin v22.4h, v4.4h, v27.4h 6E3A6EDC umin v28.16b, v22.16b, v26.16b 6E236F51 umin v17.16b, v26.16b, v3.16b 6E7B6F66 umin v6.8h, v27.8h, v27.8h 2EA16E18 umin v24.2s, v16.2s, v1.2s 6E6D6CAC umin v12.8h, v5.8h, v13.8h 2E676D33 umin v19.4h, v9.4h, v7.4h 2E386EF2 umin v18.8b, v23.8b, v24.8b 2E7E6C61 umin v1.4h, v3.4h, v30.4h // UMLAL_asimddiff_L 0x101110xx1xxxxx100000xxxxxxxxxx 2E2980E0 umlal v0.8h, v7.8b, v9.8b 6EAF80E3 umlal2 v3.2d, v7.4s, v15.4s 2EA981E6 umlal v6.2d, v15.2s, v9.2s 6EB68367 umlal2 v7.2d, v27.4s, v22.4s 2E6781CE umlal v14.4s, v14.4h, v7.4h 6E388175 umlal2 v21.8h, v11.16b, v24.16b 2E7D8358 umlal v24.4s, v26.4h, v29.4h 2E348141 umlal v1.8h, v10.8b, v20.8b 2E6182A5 umlal v5.4s, v21.4h, v1.4h 6E6B8029 umlal2 v9.4s, v1.8h, v11.8h 6E2D816B umlal2 v11.8h, v11.16b, v13.16b 6E6783EB umlal2 v11.4s, v31.8h, v7.8h 2E3C80A7 umlal v7.8h, v5.8b, v28.8b 2EA88017 umlal v23.2d, v0.2s, v8.2s 6EB280E5 umlal2 v5.2d, v7.4s, v18.4s 2E3083B6 umlal v22.8h, v29.8b, v16.8b // UMLAL_asimdelem_L 0x101111xxxxxxxx0010xxxxxxxxxxxx 2F7623EB umlal v11.4s, v31.4h, v6.h[3] 6FBC2BAB umlal2 v11.2d, v29.4s, v28.s[3] 6F682A8C umlal2 v12.4s, v20.8h, v8.h[6] 6FA0291C umlal2 v28.2d, v8.4s, v0.s[3] 6FAA28AA umlal2 v10.2d, v5.4s, v10.s[3] 6F9020C2 umlal2 v2.2d, v6.4s, v16.s[0] 2F6B20EB umlal v11.4s, v7.4h, v11.h[2] 6F652A0A umlal2 v10.4s, v16.8h, v5.h[6] 2FAC2360 umlal v0.2d, v27.2s, v12.s[1] 2F4E2B7A umlal v26.4s, v27.4h, v14.h[4] 2FB42A37 umlal v23.2d, v17.2s, v20.s[3] 2F4C28EF umlal v15.4s, v7.4h, v12.h[4] 6F7F216B umlal2 v11.4s, v11.8h, v15.h[3] 6F8F2920 umlal2 v0.2d, v9.4s, v15.s[2] 6F4728B6 umlal2 v22.4s, v5.8h, v7.h[4] 6F7421B1 umlal2 v17.4s, v13.8h, v4.h[3] // UMLSL_asimddiff_L 0x101110xx1xxxxx101000xxxxxxxxxx 6E6AA392 umlsl2 v18.4s, v28.8h, v10.8h 2E78A247 umlsl v7.4s, v18.4h, v24.4h 6E6CA181 umlsl2 v1.4s, v12.8h, v12.8h 2EA9A320 umlsl v0.2d, v25.2s, v9.2s 2EAEA2F9 umlsl v25.2d, v23.2s, v14.2s 6EBEA131 umlsl2 v17.2d, v9.4s, v30.4s 6E22A1BE umlsl2 v30.8h, v13.16b, v2.16b 6EB4A3AA umlsl2 v10.2d, v29.4s, v20.4s 6E61A36D umlsl2 v13.4s, v27.8h, v1.8h 6E65A2F0 umlsl2 v16.4s, v23.8h, v5.8h 6EBFA39E umlsl2 v30.2d, v28.4s, v31.4s 2E34A2A9 umlsl v9.8h, v21.8b, v20.8b 6E35A127 umlsl2 v7.8h, v9.16b, v21.16b 6EB9A14E umlsl2 v14.2d, v10.4s, v25.4s 2E2CA335 umlsl v21.8h, v25.8b, v12.8b 2E6EA276 umlsl v22.4s, v19.4h, v14.4h // UMLSL_asimdelem_L 0x101111xxxxxxxx0110xxxxxxxxxxxx 2F576120 umlsl v0.4s, v9.4h, v7.h[1] 2F886913 umlsl v19.2d, v8.2s, v8.s[2] 2FAC63B4 umlsl v20.2d, v29.2s, v12.s[1] 2F526879 umlsl v25.4s, v3.4h, v2.h[5] 2F8C6008 umlsl v8.2d, v0.2s, v12.s[0] 6FA368B6 umlsl2 v22.2d, v5.4s, v3.s[3] 2F7C6B36 umlsl v22.4s, v25.4h, v12.h[7] 6FBA63A0 umlsl2 v0.2d, v29.4s, v26.s[1] 6FB869C6 umlsl2 v6.2d, v14.4s, v24.s[3] 6F576199 umlsl2 v25.4s, v12.8h, v7.h[1] 6F436AD5 umlsl2 v21.4s, v22.8h, v3.h[4] 6FA469E3 umlsl2 v3.2d, v15.4s, v4.s[3] 2F6F6A7A umlsl v26.4s, v19.4h, v15.h[6] 2F7C62FA umlsl v26.4s, v23.4h, v12.h[3] 6F71612D umlsl2 v13.4s, v9.8h, v1.h[3] 2F7D6272 umlsl v18.4s, v19.4h, v13.h[3] // UMMLA_asimdsame2_G 01101110100xxxxx101001xxxxxxxxxx // UMNEGL_UMSUBL_64WA_dp_3src 10011011101xxxxx111111xxxxxxxxxx 9BB5FE5F umnegl xzr, w18, w21 9BB8FE9C umnegl x28, w20, w24 9BB7FF74 umnegl x20, w27, w23 9BB1FDAF umnegl x15, w13, w17 9BBCFF7F umnegl xzr, w27, w28 9BAEFD71 umnegl x17, w11, w14 9BABFDE0 umnegl x0, w15, w11 9BB2FE9F umnegl xzr, w20, w18 9BA0FDE3 umnegl x3, w15, w0 9BB7FC7A umnegl x26, w3, w23 9BB5FD39 umnegl x25, w9, w21 9BAFFE61 umnegl x1, w19, w15 9BA6FD11 umnegl x17, w8, w6 9BA3FCE9 umnegl x9, w7, w3 9BA2FDE3 umnegl x3, w15, w2 9BBDFF7E umnegl x30, w27, w29 // UMOV_asimdins_W_w 00001110000xxxxx001111xxxxxxxxxx 0E1A3ECB umov w11, v22.h[6] 0E093E4A umov w10, v18.b[4] 0E033C76 umov w22, v3.b[1] 0E073DAB umov w11, v13.b[3] 0E023F50 umov w16, v26.h[0] 0E1A3FB2 umov w18, v29.h[6] 0E073C62 umov w2, v3.b[3] 0E153E0F umov w15, v16.b[10] 0E0E3EEF umov w15, v23.h[3] 0E1B3D19 umov w25, v8.b[13] 0E013CB8 umov w24, v5.b[0] 0E023FF4 umov w20, v31.h[0] 0E1F3F77 umov w23, v27.b[15] 0E1F3C86 umov w6, v4.b[15] 0E0E3C85 umov w5, v4.h[3] 0E093D72 umov w18, v11.b[4] // UMSUBL_64WA_dp_3src 10011011101xxxxx1xxxxxxxxxxxxxxx 9BBEAE7E umsubl x30, w19, w30, x11 9BA5E2FC umsubl x28, w23, w5, x24 9BB3D5F4 umsubl x20, w15, w19, x21 9BB5F910 umsubl x16, w8, w21, x30 9BA5E9B0 umsubl x16, w13, w5, x26 9BA88EF0 umsubl x16, w23, w8, x3 9BBD99A0 umsubl x0, w13, w29, x6 9BBCE0C1 umsubl x1, w6, w28, x24 9BB2F91A umsubl x26, w8, w18, x30 9BAFC9B4 umsubl x20, w13, w15, x18 9BABDE99 umsubl x25, w20, w11, x23 9BAFA8C9 umsubl x9, w6, w15, x10 9BAE8BD9 umsubl x25, w30, w14, x2 9BB1DC74 umsubl x20, w3, w17, x23 9BADF62F umsubl x15, w17, w13, x29 9BA9AFB7 umsubl x23, w29, w9, x11 // UMULH_64_dp_3src 10011011110xxxxx0xxxxxxxxxxxxxxx 9BDD5542 umulh x2, x10, x29 9BDB66E1 umulh x1, x23, x27 9BC34983 umulh x3, x12, x3 9BC16C00 umulh x0, x0, x1 9BD10CA5 umulh x5, x5, x17 9BCC4341 umulh x1, x26, x12 9BDC4E05 umulh x5, x16, x28 9BC53341 umulh x1, x26, x5 9BD90791 umulh x17, x28, x25 9BC3725A umulh x26, x18, x3 9BCD69AA umulh x10, x13, x13 9BD663E1 umulh x1, xzr, x22 9BD33CB8 umulh x24, x5, x19 9BD9495C umulh x28, x10, x25 9BD4559C umulh x28, x12, x20 9BC07B5B umulh x27, x26, x0 // UMULL_UMADDL_64WA_dp_3src 10011011101xxxxx011111xxxxxxxxxx 9BB07F03 umull x3, w24, w16 9BB07C31 umull x17, w1, w16 9BA27E7D umull x29, w19, w2 9BB27E52 umull x18, w18, w18 9BA87D75 umull x21, w11, w8 9BB27E2A umull x10, w17, w18 9BA57D2D umull x13, w9, w5 9BB37DA2 umull x2, w13, w19 9BA37E74 umull x20, w19, w3 9BA67E84 umull x4, w20, w6 9BBD7F84 umull x4, w28, w29 9BA47E99 umull x25, w20, w4 9BBE7C2D umull x13, w1, w30 9BAC7CF1 umull x17, w7, w12 9BBB7FF8 umull x24, wzr, w27 9BB67DC2 umull x2, w14, w22 // UMULL_asimddiff_L 0x101110xx1xxxxx1100xxxxxxxxxxxx 2EA8C39B umull v27.2d, v28.2s, v8.2s 6E7AC1CC umull2 v12.4s, v14.8h, v26.8h 2E2EC088 umull v8.8h, v4.8b, v14.8b 2EA4C03E umull v30.2d, v1.2s, v4.2s 2E70C190 umull v16.4s, v12.4h, v16.4h 6E3DC159 umull2 v25.8h, v10.16b, v29.16b 2E66C1FC umull v28.4s, v15.4h, v6.4h 6E31C051 umull2 v17.8h, v2.16b, v17.16b 2E29C058 umull v24.8h, v2.8b, v9.8b 2E68C157 umull v23.4s, v10.4h, v8.4h 2EB4C250 umull v16.2d, v18.2s, v20.2s 6EB5C094 umull2 v20.2d, v4.4s, v21.4s 6E75C1A1 umull2 v1.4s, v13.8h, v21.8h 6E32C0FA umull2 v26.8h, v7.16b, v18.16b 2E65C1C6 umull v6.4s, v14.4h, v5.4h 2E23C0EB umull v11.8h, v7.8b, v3.8b // UMULL_asimdelem_L 0x101111xxxxxxxx1010xxxxxxxxxxxx 6F85A0DA umull2 v26.2d, v6.4s, v5.s[0] 6FB2A3B3 umull2 v19.2d, v29.4s, v18.s[1] 6F8EA9E3 umull2 v3.2d, v15.4s, v14.s[2] 6FBAA197 umull2 v23.2d, v12.4s, v26.s[1] 6F48A0EE umull2 v14.4s, v7.8h, v8.h[0] 6F99A892 umull2 v18.2d, v4.4s, v25.s[2] 2F69AA16 umull v22.4s, v16.4h, v9.h[6] 2FB7AA7C umull v28.2d, v19.2s, v23.s[3] 6F98AAC3 umull2 v3.2d, v22.4s, v24.s[2] 6F6DA26F umull2 v15.4s, v19.8h, v13.h[2] 2FAFA0EF umull v15.2d, v7.2s, v15.s[1] 6F4AA3D1 umull2 v17.4s, v30.8h, v10.h[0] 6F8AA929 umull2 v9.2d, v9.4s, v10.s[2] 6FA3AA85 umull2 v5.2d, v20.4s, v3.s[3] 6F4AA807 umull2 v7.4s, v0.8h, v10.h[4] 6F77AB51 umull2 v17.4s, v26.8h, v7.h[7] // UQADD_asimdsame_only 0x101110xx1xxxxx000xxxxxxxxxxxxx 6EEE0D0E uqadd v14.2d, v8.2d, v14.2d 6E300D2D uqadd v13.16b, v9.16b, v16.16b 6E6C0E0C uqadd v12.8h, v16.8h, v12.8h 2E280CBE uqadd v30.8b, v5.8b, v8.8b 6E700F0F uqadd v15.8h, v24.8h, v16.8h 2E300C7B uqadd v27.8b, v3.8b, v16.8b 2E6E0F4D uqadd v13.4h, v26.4h, v14.4h 6E630FDC uqadd v28.8h, v30.8h, v3.8h 2E600E55 uqadd v21.4h, v18.4h, v0.4h 6E310FB9 uqadd v25.16b, v29.16b, v17.16b 2E3B0FD2 uqadd v18.8b, v30.8b, v27.8b 2E6C0E3C uqadd v28.4h, v17.4h, v12.4h 2E740E61 uqadd v1.4h, v19.4h, v20.4h 6E700E56 uqadd v22.8h, v18.8h, v16.8h 6EB10FD4 uqadd v20.4s, v30.4s, v17.4s 2E380CE3 uqadd v3.8b, v7.8b, v24.8b // UQADD_asisdsame_only 01111110xx1xxxxx000011xxxxxxxxxx 7E250E63 uqadd b3, b19, b5 7EB10E76 uqadd s22, s19, s17 7E760E1E uqadd h30, h16, h22 7EEA0C54 uqadd d20, d2, d10 7EF00C35 uqadd d21, d1, d16 7EA60C66 uqadd s6, s3, s6 7E7D0CEB uqadd h11, h7, h29 7EEA0C91 uqadd d17, d4, d10 7EA10EB4 uqadd s20, s21, s1 7E690EA6 uqadd h6, h21, h9 7E600E73 uqadd h19, h19, h0 7E320C6B uqadd b11, b3, b18 7EA10C07 uqadd s7, s0, s1 7E390DD3 uqadd b19, b14, b25 7E620FF5 uqadd h21, h31, h2 7E670C6A uqadd h10, h3, h7 // UQRSHL_asimdsame_only 0x101110xx1xxxxx01xxxxxxxxxxxxxx 6E735E35 uqrshl v21.8h, v17.8h, v19.8h 6E765CC3 uqrshl v3.8h, v6.8h, v22.8h 6E2F5D0A uqrshl v10.16b, v8.16b, v15.16b 6EE35E9E uqrshl v30.2d, v20.2d, v3.2d 2E6D5E6C uqrshl v12.4h, v19.4h, v13.4h 2E635C8B uqrshl v11.4h, v4.4h, v3.4h 2E655ECD uqrshl v13.4h, v22.4h, v5.4h 2E7F5F3A uqrshl v26.4h, v25.4h, v31.4h 2EAC5C17 uqrshl v23.2s, v0.2s, v12.2s 6EFE5FE0 uqrshl v0.2d, v31.2d, v30.2d 2E395EE4 uqrshl v4.8b, v23.8b, v25.8b 6EAD5E40 uqrshl v0.4s, v18.4s, v13.4s 6E7F5E27 uqrshl v7.8h, v17.8h, v31.8h 6EA25E87 uqrshl v7.4s, v20.4s, v2.4s 2E315E0A uqrshl v10.8b, v16.8b, v17.8b 2EAF5E22 uqrshl v2.2s, v17.2s, v15.2s // UQRSHL_asisdsame_only 01111110xx1xxxxx010111xxxxxxxxxx 7E205E93 uqrshl b19, b20, b0 7EBB5DFB uqrshl s27, s15, s27 7EFD5EAC uqrshl d12, d21, d29 7EEC5C62 uqrshl d2, d3, d12 7E265F61 uqrshl b1, b27, b6 7EAA5CEC uqrshl s12, s7, s10 7E7A5D82 uqrshl h2, h12, h26 7E7F5D07 uqrshl h7, h8, h31 7E605E05 uqrshl h5, h16, h0 7EA95FE5 uqrshl s5, s31, s9 7EA85CE5 uqrshl s5, s7, s8 7EA85CC3 uqrshl s3, s6, s8 7EF55F43 uqrshl d3, d26, d21 7E235DEB uqrshl b11, b15, b3 7E375C01 uqrshl b1, b0, b23 7E735F5A uqrshl h26, h26, h19 // UQRSHRN_asimdshf_N 0x10111100xxxxxx10xxxxxxxxxxxxxx 2F1A9D18 uqrshrn v24.4h, v8.4s, #0x6 2F2F9CE1 uqrshrn v1.2s, v7.2d, #0x11 2F0B9C8B uqrshrn v11.8b, v4.8h, #0x5 6F349C1A uqrshrn2 v26.4s, v0.2d, #0xc 2F2A9C98 uqrshrn v24.2s, v4.2d, #0x16 6F1E9E22 uqrshrn2 v2.8h, v17.4s, #0x2 6F259E3C uqrshrn2 v28.4s, v17.2d, #0x1b 2F3C9C8F uqrshrn v15.2s, v4.2d, #0x4 6F179E3B uqrshrn2 v27.8h, v17.4s, #0x9 2F139DD6 uqrshrn v22.4h, v14.4s, #0xd 2F1B9F02 uqrshrn v2.4h, v24.4s, #0x5 6F279FBB uqrshrn2 v27.4s, v29.2d, #0x19 6F359F43 uqrshrn2 v3.4s, v26.2d, #0xb 2F2C9DD6 uqrshrn v22.2s, v14.2d, #0x14 2F0A9F5B uqrshrn v27.8b, v26.8h, #0x6 6F0B9D58 uqrshrn2 v24.16b, v10.8h, #0x5 // UQRSHRN_asisdshf_N 0111111100xxxxxx100111xxxxxxxxxx 7F159C9A uqrshrn h26, s4, #0xb 7F3C9C32 uqrshrn s18, d1, #0x4 7F1E9C96 uqrshrn h22, s4, #0x2 7F3A9E3F uqrshrn s31, d17, #0x6 7F289F0D uqrshrn s13, d24, #0x18 7F2F9C0A uqrshrn s10, d0, #0x11 7F1C9EE8 uqrshrn h8, s23, #0x4 7F239D18 uqrshrn s24, d8, #0x1d 7F0E9C4B uqrshrn b11, h2, #0x2 7F1C9D61 uqrshrn h1, s11, #0x4 7F269EF6 uqrshrn s22, d23, #0x1a 7F209CEE uqrshrn s14, d7, #0x20 7F139C0E uqrshrn h14, s0, #0xd 7F1F9EB7 uqrshrn h23, s21, #0x1 7F149F6E uqrshrn h14, s27, #0xc 7F1E9CC3 uqrshrn h3, s6, #0x2 // UQSHL_asimdsame_only 0x101110xx1xxxxx010xxxxxxxxxxxxx 2E304C60 uqshl v0.8b, v3.8b, v16.8b 2E7D4C38 uqshl v24.4h, v1.4h, v29.4h 2E244F05 uqshl v5.8b, v24.8b, v4.8b 6EBB4C55 uqshl v21.4s, v2.4s, v27.4s 2E654F14 uqshl v20.4h, v24.4h, v5.4h 6EB74D2E uqshl v14.4s, v9.4s, v23.4s 6E314C60 uqshl v0.16b, v3.16b, v17.16b 6EA64FD2 uqshl v18.4s, v30.4s, v6.4s 6E284F08 uqshl v8.16b, v24.16b, v8.16b 2EA54DBD uqshl v29.2s, v13.2s, v5.2s 6E674F63 uqshl v3.8h, v27.8h, v7.8h 6EB64F4E uqshl v14.4s, v26.4s, v22.4s 6EE84CEA uqshl v10.2d, v7.2d, v8.2d 6EED4F9E uqshl v30.2d, v28.2d, v13.2d 6EE64E54 uqshl v20.2d, v18.2d, v6.2d 2EBF4D9F uqshl v31.2s, v12.2s, v31.2s // UQSHL_asimdshf_R 0x1011110xxxxxxx011101xxxxxxxxxx 6F1F75F4 uqshl v20.8h, v15.8h, #0xf 6F7B7666 uqshl v6.2d, v19.2d, #0x3b 6F0D74E6 uqshl v6.16b, v7.16b, #0x5 6F327713 uqshl v19.4s, v24.4s, #0x12 6F3A769B uqshl v27.4s, v20.4s, #0x1a 6F7D74D6 uqshl v22.2d, v6.2d, #0x3d 2F1877CB uqshl v11.4h, v30.4h, #0x8 6F7C75A0 uqshl v0.2d, v13.2d, #0x3c 6F56755A uqshl v26.2d, v10.2d, #0x16 6F3E77F3 uqshl v19.4s, v31.4s, #0x1e 6F1B7583 uqshl v3.8h, v12.8h, #0xb 2F0874B9 uqshl v25.8b, v5.8b, #0x0 6F3C7621 uqshl v1.4s, v17.4s, #0x1c 2F3674BE uqshl v30.2s, v5.2s, #0x16 2F3175F4 uqshl v20.2s, v15.2s, #0x11 6F3B75F7 uqshl v23.4s, v15.4s, #0x1b // UQSHL_asisdsame_only 01111110xx1xxxxx010011xxxxxxxxxx 7E6C4EFA uqshl h26, h23, h12 7E6F4EFA uqshl h26, h23, h15 7EE64CEB uqshl d11, d7, d6 7E734D61 uqshl h1, h11, h19 7E2A4C37 uqshl b23, b1, b10 7EE94DE2 uqshl d2, d15, d9 7EA74FAA uqshl s10, s29, s7 7EF14C03 uqshl d3, d0, d17 7E3E4F55 uqshl b21, b26, b30 7E794CAE uqshl h14, h5, h25 7E7C4E07 uqshl h7, h16, h28 7E3B4D29 uqshl b9, b9, b27 7E364DE2 uqshl b2, b15, b22 7EF24EB2 uqshl d18, d21, d18 7EE94DCE uqshl d14, d14, d9 7E624D71 uqshl h17, h11, h2 // UQSHL_asisdshf_R 011111110xxxxxxx011101xxxxxxxxxx 7F0A76D0 uqshl b16, b22, #0x2 7F1976B8 uqshl h24, h21, #0x9 7F1C74D3 uqshl h19, h6, #0xc 7F51766D uqshl d13, d19, #0x11 7F7F76AB uqshl d11, d21, #0x3f 7F17775E uqshl h30, h26, #0x7 7F767484 uqshl d4, d4, #0x36 7F3976C5 uqshl s5, s22, #0x19 7F59757F uqshl d31, d11, #0x19 7F6B7661 uqshl d1, d19, #0x2b 7F717605 uqshl d5, d16, #0x31 7F117626 uqshl h6, h17, #0x1 7F7D7611 uqshl d17, d16, #0x3d 7F4376A5 uqshl d5, d21, #0x3 7F347425 uqshl s5, s1, #0x14 7F4C74D4 uqshl d20, d6, #0xc // UQSHRN_asimdshf_N 0x10111100xxxxxx100101xxxxxxxxxx 2F299448 uqshrn v8.2s, v2.2d, #0x17 2F209536 uqshrn v22.2s, v9.2d, #0x20 2F389461 uqshrn v1.2s, v3.2d, #0x8 2F30947B uqshrn v27.2s, v3.2d, #0x10 6F1097B1 uqshrn2 v17.8h, v29.4s, #0x10 6F369428 uqshrn2 v8.4s, v1.2d, #0xa 6F2796B8 uqshrn2 v24.4s, v21.2d, #0x19 2F219558 uqshrn v24.2s, v10.2d, #0x1f 6F2E9634 uqshrn2 v20.4s, v17.2d, #0x12 2F119668 uqshrn v8.4h, v19.4s, #0xf 6F3597DB uqshrn2 v27.4s, v30.2d, #0xb 2F099480 uqshrn v0.8b, v4.8h, #0x7 6F199759 uqshrn2 v25.8h, v26.4s, #0x7 6F1797CD uqshrn2 v13.8h, v30.4s, #0x9 6F3794D1 uqshrn2 v17.4s, v6.2d, #0x9 6F0D952C uqshrn2 v12.16b, v9.8h, #0x3 // UQSHRN_asisdshf_N 0111111100xxxxxx100101xxxxxxxxxx 7F2E949C uqshrn s28, d4, #0x12 7F0E95D8 uqshrn b24, h14, #0x2 7F3494FA uqshrn s26, d7, #0xc 7F1E97E5 uqshrn h5, s31, #0x2 7F369511 uqshrn s17, d8, #0xa 7F1897D9 uqshrn h25, s30, #0x8 7F0F974B uqshrn b11, h26, #0x1 7F0C978E uqshrn b14, h28, #0x4 7F2897BD uqshrn s29, d29, #0x18 7F1297E5 uqshrn h5, s31, #0xe 7F349701 uqshrn s1, d24, #0xc 7F3895E5 uqshrn s5, d15, #0x8 7F2F9460 uqshrn s0, d3, #0x11 7F1B94B9 uqshrn h25, s5, #0x5 7F3194E2 uqshrn s2, d7, #0xf 7F1A967C uqshrn h28, s19, #0x6 // UQSUB_asimdsame_only 0x101110xx1xxxxx001xxxxxxxxxxxxx 6EEE2C4E uqsub v14.2d, v2.2d, v14.2d 2E6C2D0C uqsub v12.4h, v8.4h, v12.4h 2E712ED1 uqsub v17.4h, v22.4h, v17.4h 6EA82FB0 uqsub v16.4s, v29.4s, v8.4s 6E612F1E uqsub v30.8h, v24.8h, v1.8h 6E6E2FB8 uqsub v24.8h, v29.8h, v14.8h 6EED2E2F uqsub v15.2d, v17.2d, v13.2d 2EB62D22 uqsub v2.2s, v9.2s, v22.2s 2E3A2E77 uqsub v23.8b, v19.8b, v26.8b 6EEF2D00 uqsub v0.2d, v8.2d, v15.2d 6E612F29 uqsub v9.8h, v25.8h, v1.8h 6E3E2D3F uqsub v31.16b, v9.16b, v30.16b 2E392EF8 uqsub v24.8b, v23.8b, v25.8b 6E272EF9 uqsub v25.16b, v23.16b, v7.16b 6EAC2CEB uqsub v11.4s, v7.4s, v12.4s 2E222C49 uqsub v9.8b, v2.8b, v2.8b // UQSUB_asisdsame_only 01111110xx1xxxxx001011xxxxxxxxxx 7EB82C58 uqsub s24, s2, s24 7EF72D42 uqsub d2, d10, d23 7EA32E62 uqsub s2, s19, s3 7E782D7D uqsub h29, h11, h24 7EE92E97 uqsub d23, d20, d9 7E2D2D80 uqsub b0, b12, b13 7E6E2C4A uqsub h10, h2, h14 7E642EA3 uqsub h3, h21, h4 7E722FB0 uqsub h16, h29, h18 7E752D50 uqsub h16, h10, h21 7EFA2CB1 uqsub d17, d5, d26 7EFF2CEB uqsub d11, d7, d31 7EA92D61 uqsub s1, s11, s9 7EE12F8E uqsub d14, d28, d1 7E792C6A uqsub h10, h3, h25 7EA62CA5 uqsub s5, s5, s6 // UQXTN_asimdmisc_N 0x101110xx100001010010xxxxxxxxxx 6EA1496B uqxtn2 v11.4s, v11.2d 2E61499F uqxtn v31.4h, v12.4s 6E614B1A uqxtn2 v26.8h, v24.4s 2EA14B5E uqxtn v30.2s, v26.2d 2E614AC7 uqxtn v7.4h, v22.4s 6EA14A16 uqxtn2 v22.4s, v16.2d 2E614B9A uqxtn v26.4h, v28.4s 6EA14AD1 uqxtn2 v17.4s, v22.2d 6EA14BE9 uqxtn2 v9.4s, v31.2d 2E214AA0 uqxtn v0.8b, v21.8h 2E614BB6 uqxtn v22.4h, v29.4s 2EA14BFA uqxtn v26.2s, v31.2d 6E6149A7 uqxtn2 v7.8h, v13.4s 6E214A21 uqxtn2 v1.16b, v17.8h 2EA14A4F uqxtn v15.2s, v18.2d 2E214989 uqxtn v9.8b, v12.8h // UQXTN_asisdmisc_N 01111110xx100001010010xxxxxxxxxx 7E214AFD uqxtn b29, h23 7E614BCC uqxtn h12, s30 7E6149C5 uqxtn h5, s14 7EA1484F uqxtn s15, d2 7EA1484D uqxtn s13, d2 7E6149F3 uqxtn h19, s15 7E614856 uqxtn h22, s2 7E614B90 uqxtn h16, s28 7E614BF0 uqxtn h16, s31 7E2148BE uqxtn b30, h5 7EA14B79 uqxtn s25, d27 7EA149EF uqxtn s15, d15 7E6149FB uqxtn h27, s15 7E2148DA uqxtn b26, h6 7E214B35 uqxtn b21, h25 7E6149BE uqxtn h30, s13 // URECPE_asimdmisc_R 0x00111010100001110010xxxxxxxxxx 0EA1CB26 urecpe v6.2s, v25.2s 4EA1C801 urecpe v1.4s, v0.4s 4EA1CAC0 urecpe v0.4s, v22.4s 0EA1CA0C urecpe v12.2s, v16.2s 0EA1C87C urecpe v28.2s, v3.2s 0EA1CAB9 urecpe v25.2s, v21.2s 0EA1CB01 urecpe v1.2s, v24.2s 4EA1C839 urecpe v25.4s, v1.4s 0EA1CA92 urecpe v18.2s, v20.2s 4EA1CA10 urecpe v16.4s, v16.4s 0EA1CACB urecpe v11.2s, v22.2s 0EA1CA46 urecpe v6.2s, v18.2s 0EA1C8B1 urecpe v17.2s, v5.2s 4EA1CB2F urecpe v15.4s, v25.4s 4EA1CA3F urecpe v31.4s, v17.4s 4EA1C8AD urecpe v13.4s, v5.4s // URHADD_asimdsame_only 0x101110xx1xxxxx000101xxxxxxxxxx 2E6015B5 urhadd v21.4h, v13.4h, v0.4h 2E79171A urhadd v26.4h, v24.4h, v25.4h 2EAF1601 urhadd v1.2s, v16.2s, v15.2s 6EB31623 urhadd v3.4s, v17.4s, v19.4s 2EB717B1 urhadd v17.2s, v29.2s, v23.2s 2E6E15F8 urhadd v24.4h, v15.4h, v14.4h 2E2514CA urhadd v10.8b, v6.8b, v5.8b 2E66158A urhadd v10.4h, v12.4h, v6.4h 6E3316D7 urhadd v23.16b, v22.16b, v19.16b 6EBD17DC urhadd v28.4s, v30.4s, v29.4s 6E7E16B2 urhadd v18.8h, v21.8h, v30.8h 6E76171B urhadd v27.8h, v24.8h, v22.8h 6EBF17FA urhadd v26.4s, v31.4s, v31.4s 6E62148F urhadd v15.8h, v4.8h, v2.8h 6EA41655 urhadd v21.4s, v18.4s, v4.4s 2EAD15C3 urhadd v3.2s, v14.2s, v13.2s // URSHL_asimdsame_only 0x101110xx1xxxxx010101xxxxxxxxxx 6E225488 urshl v8.16b, v4.16b, v2.16b 2E2B542A urshl v10.8b, v1.8b, v11.8b 2E6C554D urshl v13.4h, v10.4h, v12.4h 2E2655D2 urshl v18.8b, v14.8b, v6.8b 2EB5564C urshl v12.2s, v18.2s, v21.2s 2EB35587 urshl v7.2s, v12.2s, v19.2s 6E7255F6 urshl v22.8h, v15.8h, v18.8h 2E365649 urshl v9.8b, v18.8b, v22.8b 6EE55445 urshl v5.2d, v2.2d, v5.2d 6E6B57AC urshl v12.8h, v29.8h, v11.8h 6EF555F1 urshl v17.2d, v15.2d, v21.2d 6E705768 urshl v8.8h, v27.8h, v16.8h 6E73573D urshl v29.8h, v25.8h, v19.8h 6E3C56BB urshl v27.16b, v21.16b, v28.16b 2E2B55D7 urshl v23.8b, v14.8b, v11.8b 6E7854CC urshl v12.8h, v6.8h, v24.8h // URSHL_asisdsame_only 01111110111xxxxx010101xxxxxxxxxx 7EF25683 urshl d3, d20, d18 7EF2542D urshl d13, d1, d18 7EEA569D urshl d29, d20, d10 7EFB556F urshl d15, d11, d27 7EF15415 urshl d21, d0, d17 7EE15410 urshl d16, d0, d1 7EE75790 urshl d16, d28, d7 7EE055D6 urshl d22, d14, d0 7EED5518 urshl d24, d8, d13 7EE3540E urshl d14, d0, d3 7EFF547F urshl d31, d3, d31 7EE757E7 urshl d7, d31, d7 7EFA56DA urshl d26, d22, d26 7EE456D4 urshl d20, d22, d4 7EEE54D5 urshl d21, d6, d14 7EE35699 urshl d25, d20, d3 // URSHR_asimdshf_R 0x1011110xxxxxxx0010xxxxxxxxxxxx 6F6327FA urshr v26.2d, v31.2d, #0x1d 2F3B25A7 urshr v7.2s, v13.2s, #0x5 2F1A275F urshr v31.4h, v26.4h, #0x6 2F3224B2 urshr v18.2s, v5.2s, #0xe 2F1327B0 urshr v16.4h, v29.4h, #0xd 6F4E26F8 urshr v24.2d, v23.2d, #0x32 6F3827DB urshr v27.4s, v30.4s, #0x8 2F1F26F6 urshr v22.4h, v23.4h, #0x1 2F3D27F7 urshr v23.2s, v31.2s, #0x3 6F512713 urshr v19.2d, v24.2d, #0x2f 2F0C24AE urshr v14.8b, v5.8b, #0x4 6F13242F urshr v15.8h, v1.8h, #0xd 6F272676 urshr v22.4s, v19.4s, #0x19 6F632481 urshr v1.2d, v4.2d, #0x1d 2F2E27F2 urshr v18.2s, v31.2s, #0x12 6F6B2564 urshr v4.2d, v11.2d, #0x15 // URSHR_asisdshf_R 0111111101xxxxxx001001xxxxxxxxxx 7F5A27B4 urshr d20, d29, #0x26 7F4724F4 urshr d20, d7, #0x39 7F7225DA urshr d26, d14, #0xe 7F4A2573 urshr d19, d11, #0x36 7F7F2594 urshr d20, d12, #0x1 7F482484 urshr d4, d4, #0x38 7F7127E8 urshr d8, d31, #0xf 7F5527A3 urshr d3, d29, #0x2b 7F4F24D8 urshr d24, d6, #0x31 7F742602 urshr d2, d16, #0xc 7F5926C6 urshr d6, d22, #0x27 7F5D24EC urshr d12, d7, #0x23 7F5A269A urshr d26, d20, #0x26 7F5A260B urshr d11, d16, #0x26 7F4827B9 urshr d25, d29, #0x38 7F5025B8 urshr d24, d13, #0x30 // URSQRTE_asimdmisc_R 0x10111010100001110010xxxxxxxxxx 6EA1C8E3 ursqrte v3.4s, v7.4s 2EA1C9CE ursqrte v14.2s, v14.2s 2EA1CB8F ursqrte v15.2s, v28.2s 2EA1C9CC ursqrte v12.2s, v14.2s 2EA1C89B ursqrte v27.2s, v4.2s 2EA1CA7E ursqrte v30.2s, v19.2s 2EA1CAC0 ursqrte v0.2s, v22.2s 2EA1CA0D ursqrte v13.2s, v16.2s 2EA1C95A ursqrte v26.2s, v10.2s 2EA1CA2B ursqrte v11.2s, v17.2s 2EA1CABF ursqrte v31.2s, v21.2s 2EA1CAB7 ursqrte v23.2s, v21.2s 2EA1C8E8 ursqrte v8.2s, v7.2s 2EA1CB03 ursqrte v3.2s, v24.2s 2EA1C94E ursqrte v14.2s, v10.2s 6EA1CB3D ursqrte v29.4s, v25.4s // URSRA_asimdshf_R 0x1011110xxxxxxx001101xxxxxxxxxx 2F1A3413 ursra v19.4h, v0.4h, #0x6 6F39364A ursra v10.4s, v18.4s, #0x7 6F093649 ursra v9.16b, v18.16b, #0x7 2F2737F0 ursra v16.2s, v31.2s, #0x19 2F1F3743 ursra v3.4h, v26.4h, #0x1 2F353705 ursra v5.2s, v24.2s, #0xb 2F3837B3 ursra v19.2s, v29.2s, #0x8 6F703658 ursra v24.2d, v18.2d, #0x10 6F2F3441 ursra v1.4s, v2.4s, #0x11 6F7A3596 ursra v22.2d, v12.2d, #0x6 6F0A35E4 ursra v4.16b, v15.16b, #0x6 2F303442 ursra v2.2s, v2.2s, #0x10 2F373745 ursra v5.2s, v26.2s, #0x9 2F1C36B8 ursra v24.4h, v21.4h, #0x4 2F2B365D ursra v29.2s, v18.2s, #0x15 6F1737F3 ursra v19.8h, v31.8h, #0x9 // URSRA_asisdshf_R 0111111101xxxxxx001101xxxxxxxxxx 7F553567 ursra d7, d11, #0x2b 7F5F3787 ursra d7, d28, #0x21 7F773635 ursra d21, d17, #0x9 7F573786 ursra d6, d28, #0x29 7F5937B9 ursra d25, d29, #0x27 7F423781 ursra d1, d28, #0x3e 7F6237F3 ursra d19, d31, #0x1e 7F74344F ursra d15, d2, #0xc 7F7434B0 ursra d16, d5, #0xc 7F413764 ursra d4, d27, #0x3f 7F6137BF ursra d31, d29, #0x1f 7F5D3699 ursra d25, d20, #0x23 7F6B3408 ursra d8, d0, #0x15 7F6F3670 ursra d16, d19, #0x11 7F4834B7 ursra d23, d5, #0x38 7F7E358C ursra d12, d12, #0x2 // USDOT_asimdelem_D 0x00111110xxxxxx1111x0xxxxxxxxxx // USDOT_asimdsame2_D 0x001110100xxxxx100111xxxxxxxxxx // USHLL_asimdshf_L 0x10111100xxxxxx1010xxxxxxxxxxxx 2F30A561 ushll v1.2d, v11.2s, #0x10 2F22A6E1 ushll v1.2d, v23.2s, #0x2 6F17A639 ushll2 v25.4s, v17.8h, #0x7 6F22A42D ushll2 v13.2d, v1.4s, #0x2 2F09A4CC ushll v12.8h, v6.8b, #0x1 2F21A6DF ushll v31.2d, v22.2s, #0x1 6F3EA502 ushll2 v2.2d, v8.4s, #0x1e 2F30A46D ushll v13.2d, v3.2s, #0x10 6F3CA73E ushll2 v30.2d, v25.4s, #0x1c 6F32A607 ushll2 v7.2d, v16.4s, #0x12 6F1BA5AF ushll2 v15.4s, v13.8h, #0xb 6F0BA521 ushll2 v1.8h, v9.16b, #0x3 6F14A576 ushll2 v22.4s, v11.8h, #0x4 6F0DA61E ushll2 v30.8h, v16.16b, #0x5 2F23A620 ushll v0.2d, v17.2s, #0x3 2F23A4DB ushll v27.2d, v6.2s, #0x3 // USHL_asimdsame_only 0x101110xx1xxxxx0100xxxxxxxxxxxx 6EBE45B4 ushl v20.4s, v13.4s, v30.4s 6E2244E6 ushl v6.16b, v7.16b, v2.16b 2E21469E ushl v30.8b, v20.8b, v1.8b 6E3644AB ushl v11.16b, v5.16b, v22.16b 6EF644A2 ushl v2.2d, v5.2d, v22.2d 6EB44684 ushl v4.4s, v20.4s, v20.4s 6E3D4549 ushl v9.16b, v10.16b, v29.16b 6EF746D9 ushl v25.2d, v22.2d, v23.2d 6EA845F2 ushl v18.4s, v15.4s, v8.4s 6E324461 ushl v1.16b, v3.16b, v18.16b 6E614485 ushl v5.8h, v4.8h, v1.8h 6EF9462A ushl v10.2d, v17.2d, v25.2d 2E6C47F7 ushl v23.4h, v31.4h, v12.4h 2E3344BF ushl v31.8b, v5.8b, v19.8b 2E3546A9 ushl v9.8b, v21.8b, v21.8b 2E65473F ushl v31.4h, v25.4h, v5.4h // USHL_asisdsame_only 01111110111xxxxx0100xxxxxxxxxxxx 7EF345F6 ushl d22, d15, d19 7EF4446F ushl d15, d3, d20 7EFA47DB ushl d27, d30, d26 7EEB4610 ushl d16, d16, d11 7EF64601 ushl d1, d16, d22 7EFD446C ushl d12, d3, d29 7EE94751 ushl d17, d26, d9 7EE8464E ushl d14, d18, d8 7EEF4794 ushl d20, d28, d15 7EF04634 ushl d20, d17, d16 7EEA44BD ushl d29, d5, d10 7EEF4694 ushl d20, d20, d15 7EE14448 ushl d8, d2, d1 7EF1440E ushl d14, d0, d17 7EFE4481 ushl d1, d4, d30 7EFC4423 ushl d3, d1, d28 // USHR_asimdshf_R 0x1011110xxxxxxx0000xxxxxxxxxxxx 2F1C0579 ushr v25.4h, v11.4h, #0x4 2F3807B7 ushr v23.2s, v29.2s, #0x8 2F0905F5 ushr v21.8b, v15.8b, #0x7 6F16074D ushr v13.8h, v26.8h, #0xa 2F2B0670 ushr v16.2s, v19.2s, #0x15 2F2C0750 ushr v16.2s, v26.2s, #0x14 6F260503 ushr v3.4s, v8.4s, #0x1a 2F3104D7 ushr v23.2s, v6.2s, #0xf 6F3F042E ushr v14.4s, v1.4s, #0x1 6F6A05C6 ushr v6.2d, v14.2d, #0x16 6F2606C5 ushr v5.4s, v22.4s, #0x1a 2F2D058C ushr v12.2s, v12.2s, #0x13 6F7005FC ushr v28.2d, v15.2d, #0x10 2F0E040F ushr v15.8b, v0.8b, #0x2 6F4F0630 ushr v16.2d, v17.2d, #0x31 6F4D06BD ushr v29.2d, v21.2d, #0x33 // USHR_asisdshf_R 0111111101xxxxxx000001xxxxxxxxxx 7F5A0722 ushr d2, d25, #0x26 7F7805BF ushr d31, d13, #0x8 7F7E055A ushr d26, d10, #0x2 7F550781 ushr d1, d28, #0x2b 7F7D0442 ushr d2, d2, #0x3 7F6104EC ushr d12, d7, #0x1f 7F6005C0 ushr d0, d14, #0x20 7F4105CA ushr d10, d14, #0x3f 7F580490 ushr d16, d4, #0x28 7F640724 ushr d4, d25, #0x1c 7F6A0661 ushr d1, d19, #0x16 7F5E05F9 ushr d25, d15, #0x22 7F7A07AE ushr d14, d29, #0x6 7F4D0482 ushr d2, d4, #0x33 7F6A0783 ushr d3, d28, #0x16 7F560597 ushr d23, d12, #0x2a // USMMLA_asimdsame2_G 01001110100xxxxx101011xxxxxxxxxx // USQADD_asimdmisc_R 0x101110xx100000001110xxxxxxxxxx 6EA03AAC usqadd v12.4s, v21.4s 6EE038D0 usqadd v16.2d, v6.2d 2EA039C9 usqadd v9.2s, v14.2s 2E203A10 usqadd v16.8b, v16.8b 6EE03B00 usqadd v0.2d, v24.2d 6EE0383D usqadd v29.2d, v1.2d 6EA03A0B usqadd v11.4s, v16.4s 6E203956 usqadd v22.16b, v10.16b 6EA03A94 usqadd v20.4s, v20.4s 6EA03819 usqadd v25.4s, v0.4s 2E203A31 usqadd v17.8b, v17.8b 6E603BE7 usqadd v7.8h, v31.8h 6E203A9F usqadd v31.16b, v20.16b 6E60391E usqadd v30.8h, v8.8h 6EA0386A usqadd v10.4s, v3.4s 6EE03B54 usqadd v20.2d, v26.2d // USQADD_asisdmisc_R 01111110xx10000000111xxxxxxxxxxx 7EE03B7F usqadd d31, d27 7EA039BC usqadd s28, s13 7EE03AF2 usqadd d18, d23 7E603A16 usqadd h22, h16 7EE03B1C usqadd d28, d24 7E203914 usqadd b20, b8 7EA03AB1 usqadd s17, s21 7EE0398C usqadd d12, d12 7E603A6A usqadd h10, h19 7EE038FF usqadd d31, d7 7E603BC1 usqadd h1, h30 7EE03925 usqadd d5, d9 7EA03948 usqadd s8, s10 7EE039CF usqadd d15, d14 7EA03A74 usqadd s20, s19 7EE03B8B usqadd d11, d28 // USRA_asimdshf_R 0x1011110xxxxxxx000101xxxxxxxxxx 6F3A1743 usra v3.4s, v26.4s, #0x6 6F7B1536 usra v22.2d, v9.2d, #0x5 2F141460 usra v0.4h, v3.4h, #0xc 6F19152C usra v12.8h, v9.8h, #0x7 6F7A1514 usra v20.2d, v8.2d, #0x6 2F2F165E usra v30.2s, v18.2s, #0x11 2F1D1747 usra v7.4h, v26.4h, #0x3 6F7A173D usra v29.2d, v25.2d, #0x6 6F7216B2 usra v18.2d, v21.2d, #0xe 6F7B17B0 usra v16.2d, v29.2d, #0x5 6F1A1656 usra v22.8h, v18.8h, #0x6 6F1D1447 usra v7.8h, v2.8h, #0x3 2F371708 usra v8.2s, v24.2s, #0x9 6F3416AB usra v11.4s, v21.4s, #0xc 2F3D1452 usra v18.2s, v2.2s, #0x3 6F2C14E4 usra v4.4s, v7.4s, #0x14 // USRA_asisdshf_R 0111111101xxxxxx000101xxxxxxxxxx 7F46175D usra d29, d26, #0x3a 7F7715D2 usra d18, d14, #0x9 7F691735 usra d21, d25, #0x17 7F7816C2 usra d2, d22, #0x8 7F781781 usra d1, d28, #0x8 7F561600 usra d0, d16, #0x2a 7F43147F usra d31, d3, #0x3d 7F5F15A3 usra d3, d13, #0x21 7F7B16A4 usra d4, d21, #0x5 7F4B17A0 usra d0, d29, #0x35 7F5E165A usra d26, d18, #0x22 7F43148E usra d14, d4, #0x3d 7F751459 usra d25, d2, #0xb 7F40146A usra d10, d3, #0x40 7F7E14F3 usra d19, d7, #0x2 7F661696 usra d22, d20, #0x1a // USUBL_asimddiff_L 0x101110xx1xxxxx001000xxxxxxxxxx 6E7522C4 usubl2 v4.4s, v22.8h, v21.8h 6E72200E usubl2 v14.4s, v0.8h, v18.8h 2E3B215C usubl v28.8h, v10.8b, v27.8b 2EB62166 usubl v6.2d, v11.2s, v22.2s 2E32209C usubl v28.8h, v4.8b, v18.8b 6E3F2096 usubl2 v22.8h, v4.16b, v31.16b 6E2E2072 usubl2 v18.8h, v3.16b, v14.16b 6E30226A usubl2 v10.8h, v19.16b, v16.16b 2EBA2147 usubl v7.2d, v10.2s, v26.2s 2EB423CA usubl v10.2d, v30.2s, v20.2s 2E3521FD usubl v29.8h, v15.8b, v21.8b 6E61238D usubl2 v13.4s, v28.8h, v1.8h 2EA42010 usubl v16.2d, v0.2s, v4.2s 2E2B2202 usubl v2.8h, v16.8b, v11.8b 2EAC2287 usubl v7.2d, v20.2s, v12.2s 2EBF2334 usubl v20.2d, v25.2s, v31.2s // USUBW_asimddiff_W 0x101110xx1xxxxx001100xxxxxxxxxx 6E79304B usubw2 v11.4s, v2.4s, v25.8h 2E7A33A6 usubw v6.4s, v29.4s, v26.4h 6EB030E1 usubw2 v1.2d, v7.2d, v16.4s 6EAA3393 usubw2 v19.2d, v28.2d, v10.4s 2EB33016 usubw v22.2d, v0.2d, v19.2s 2EA033A1 usubw v1.2d, v29.2d, v0.2s 6EBD32D2 usubw2 v18.2d, v22.2d, v29.4s 2EB93182 usubw v2.2d, v12.2d, v25.2s 2E643160 usubw v0.4s, v11.4s, v4.4h 2E7130F8 usubw v24.4s, v7.4s, v17.4h 6EB1310D usubw2 v13.2d, v8.2d, v17.4s 6E3D33AE usubw2 v14.8h, v29.8h, v29.16b 2EA633D5 usubw v21.2d, v30.2d, v6.2s 6EBE320B usubw2 v11.2d, v16.2d, v30.4s 2E7F32C2 usubw v2.4s, v22.4s, v31.4h 6E233226 usubw2 v6.8h, v17.8h, v3.16b // UXTB_UBFM_32M_bitfield 0101001100000000000111xxxxxxxxxx 53001E48 uxtb w8, w18 53001FC9 uxtb w9, w30 53001D42 uxtb w2, w10 53001E75 uxtb w21, w19 53001C1E uxtb w30, w0 53001ED4 uxtb w20, w22 53001E59 uxtb w25, w18 53001F04 uxtb w4, w24 53001CB9 uxtb w25, w5 53001E03 uxtb w3, w16 53001D46 uxtb w6, w10 53001D9C uxtb w28, w12 53001DFF uxtb wzr, w15 53001F18 uxtb w24, w24 53001F99 uxtb w25, w28 53001C72 uxtb w18, w3 // UXTH_UBFM_32M_bitfield 0101001100000000001111xxxxxxxxxx 53003ED6 uxth w22, w22 53003C6C uxth w12, w3 53003CF9 uxth w25, w7 53003FA8 uxth w8, w29 53003D17 uxth w23, w8 53003D65 uxth w5, w11 53003EAA uxth w10, w21 53003DFB uxth w27, w15 53003EDC uxth w28, w22 53003CE1 uxth w1, w7 53003E14 uxth w20, w16 53003DA3 uxth w3, w13 53003C5A uxth w26, w2 53003E29 uxth w9, w17 53003DB7 uxth w23, w13 53003DA5 uxth w5, w13 // UXTL_USHLL_asimdshf_L 0x10111100xxx0001010xxxxxxxxxxxx 6F20A693 uxtl2 v19.2d, v20.4s 2F20A502 uxtl v2.2d, v8.2s 6F20A64B uxtl2 v11.2d, v18.4s 2F08A426 uxtl v6.8h, v1.8b 2F08A7AB uxtl v11.8h, v29.8b 6F08A54B uxtl2 v11.8h, v10.16b 2F20A509 uxtl v9.2d, v8.2s 6F10A5A0 uxtl2 v0.4s, v13.8h 2F08A6A2 uxtl v2.8h, v21.8b 6F08A7A8 uxtl2 v8.8h, v29.16b 2F08A611 uxtl v17.8h, v16.8b 6F08A688 uxtl2 v8.8h, v20.16b 2F20A6EA uxtl v10.2d, v23.2s 2F08A5A2 uxtl v2.8h, v13.8b 6F10A4D7 uxtl2 v23.4s, v6.8h 6F08A562 uxtl2 v2.8h, v11.16b // UZP1_asimdperm_only 0x001110xx0xxxxx00011xxxxxxxxxxx 4EC81B6C uzp1 v12.2d, v27.2d, v8.2d 4E0A1B11 uzp1 v17.16b, v24.16b, v10.16b 0E1F1A75 uzp1 v21.8b, v19.8b, v31.8b 4E02191D uzp1 v29.16b, v8.16b, v2.16b 0E1719CC uzp1 v12.8b, v14.8b, v23.8b 0E4F1B39 uzp1 v25.4h, v25.4h, v15.4h 4ECA1972 uzp1 v18.2d, v11.2d, v10.2d 0E071A95 uzp1 v21.8b, v20.8b, v7.8b 4E9918B9 uzp1 v25.4s, v5.4s, v25.4s 4E5E1B58 uzp1 v24.8h, v26.8h, v30.8h 4E541AC2 uzp1 v2.8h, v22.8h, v20.8h 4E86189E uzp1 v30.4s, v4.4s, v6.4s 0E4419DA uzp1 v26.4h, v14.4h, v4.4h 0E581B06 uzp1 v6.4h, v24.4h, v24.4h 0E121B6F uzp1 v15.8b, v27.8b, v18.8b 4E4D188A uzp1 v10.8h, v4.8h, v13.8h // UZP2_asimdperm_only 0x001110xx0xxxxx010110xxxxxxxxxx 0E1159C7 uzp2 v7.8b, v14.8b, v17.8b 0E5B586E uzp2 v14.4h, v3.4h, v27.4h 4E0A5AB9 uzp2 v25.16b, v21.16b, v10.16b 4E845BB5 uzp2 v21.4s, v29.4s, v4.4s 0E8F5A67 uzp2 v7.2s, v19.2s, v15.2s 0E415A82 uzp2 v2.4h, v20.4h, v1.4h 0E0258AE uzp2 v14.8b, v5.8b, v2.8b 0E9C5856 uzp2 v22.2s, v2.2s, v28.2s 4E8B594A uzp2 v10.4s, v10.4s, v11.4s 0E8D5B1D uzp2 v29.2s, v24.2s, v13.2s 0E025B0D uzp2 v13.8b, v24.8b, v2.8b 0E005908 uzp2 v8.8b, v8.8b, v0.8b 4EC65B76 uzp2 v22.2d, v27.2d, v6.2d 4ECF5BA3 uzp2 v3.2d, v29.2d, v15.2d 4ECD5A6D uzp2 v13.2d, v19.2d, v13.2d 0E8F5B30 uzp2 v16.2s, v25.2s, v15.2s // WFE_HI_hints 11010101000000110010000001xxxxxx D503205F wfe // WFI_HI_hints 110101010000001100100000xxxxxxxx D503207F wfi // XAFLAG_M_pstate 11010101000000000100xxxx00111111 D500473F msr s0_0_c4_c7_1, xzr D5004F3F msr s0_0_c4_c15_1, xzr D5004D3F msr s0_0_c4_c13_1, xzr D5004A3F msr s0_0_c4_c10_1, xzr D500453F msr s0_0_c4_c5_1, xzr D500413F msr s0_0_c4_c1_1, xzr D500433F msr s0_0_c4_c3_1, xzr D500443F msr s0_0_c4_c4_1, xzr D500423F msr s0_0_c4_c2_1, xzr D500493F msr s0_0_c4_c9_1, xzr D5004C3F msr s0_0_c4_c12_1, xzr D500463F msr s0_0_c4_c6_1, xzr D5004E3F msr s0_0_c4_c14_1, xzr D500483F msr s0_0_c4_c8_1, xzr D5004B3F msr s0_0_c4_c11_1, xzr D500403F xaflag // XAR_VVV2_crypto3_imm6 110011101xxxxxxxxxxxxxxxxxxxxxxx CE8FCBA8 xar v8.2d, v29.2d, v15.2d, #0x32 CE9AAD58 xar v24.2d, v10.2d, v26.2d, #0x2b CE854A23 xar v3.2d, v17.2d, v5.2d, #0x12 CE852662 xar v2.2d, v19.2d, v5.2d, #0x9 CE88A683 xar v3.2d, v20.2d, v8.2d, #0x29 CE9E3210 xar v16.2d, v16.2d, v30.2d, #0xc CE9C03D8 xar v24.2d, v30.2d, v28.2d, #0x0 CE89AD16 xar v22.2d, v8.2d, v9.2d, #0x2b CE9C6533 xar v19.2d, v9.2d, v28.2d, #0x19 CE83FBDA xar v26.2d, v30.2d, v3.2d, #0x3e CE95AD1F xar v31.2d, v8.2d, v21.2d, #0x2b CE80BAE6 xar v6.2d, v23.2d, v0.2d, #0x2e CE81601B xar v27.2d, v0.2d, v1.2d, #0x18 CE86C682 xar v2.2d, v20.2d, v6.2d, #0x31 CE8A21E9 xar v9.2d, v15.2d, v10.2d, #0x8 CE96A113 xar v19.2d, v8.2d, v22.2d, #0x28 // XPACD_64Z_dp_1src 110110101100000101000111111xxxxx DAC147E0 xpacd x0 DAC147F0 xpacd x16 DAC147EE xpacd x14 DAC147F4 xpacd x20 DAC147F8 xpacd x24 DAC147F9 xpacd x25 DAC147F2 xpacd x18 DAC147E6 xpacd x6 DAC147F5 xpacd x21 DAC147F3 xpacd x19 DAC147FA xpacd x26 DAC147F7 xpacd x23 DAC147EC xpacd x12 DAC147FE xpacd x30 DAC147FB xpacd x27 DAC147F1 xpacd x17 // XPACI_64Z_dp_1src 110110101100000101000xxxxxxxxxxx DAC143F2 xpaci x18 DAC143F0 xpaci x16 DAC143F8 xpaci x24 DAC143E1 xpaci x1 DAC143F9 xpaci x25 DAC143FD xpaci x29 DAC143E4 xpaci x4 DAC143E8 xpaci x8 DAC143E5 xpaci x5 DAC143F7 xpaci x23 DAC143EC xpaci x12 DAC143FE xpaci x30 DAC143FC xpaci x28 DAC143E6 xpaci x6 DAC143F3 xpaci x19 DAC143F6 xpaci x22 // XPACLRI_HI_hints 11010101000000110010000xxxxxxxxx D50320FF xpaclri // XTN_asimdmisc_N 0x001110xx100001001010xxxxxxxxxx 0E612B11 xtn v17.4h, v24.4s 0E612BCD xtn v13.4h, v30.4s 0E612A9E xtn v30.4h, v20.4s 4E612A7B xtn2 v27.8h, v19.4s 4EA128FA xtn2 v26.4s, v7.2d 4EA12AC3 xtn2 v3.4s, v22.2d 0EA1294D xtn v13.2s, v10.2d 0E612B91 xtn v17.4h, v28.4s 0E612BE5 xtn v5.4h, v31.4s 4E612AED xtn2 v13.8h, v23.4s 4EA128D3 xtn2 v19.4s, v6.2d 0E212B11 xtn v17.8b, v24.8h 0E21293C xtn v28.8b, v9.8h 0EA12B1A xtn v26.2s, v24.2d 4E212A5E xtn2 v30.16b, v18.8h 0E212A12 xtn v18.8b, v16.8h // YIELD_HI_hints 1101010100000011001000000xxxxxxx D503203F yield // ZIP1_asimdperm_only 0x001110xx0xxxxx00111xxxxxxxxxxx 0E4B3AF4 zip1 v20.4h, v23.4h, v11.4h 0E863877 zip1 v23.2s, v3.2s, v6.2s 4E433944 zip1 v4.8h, v10.8h, v3.8h 4ED33AC9 zip1 v9.2d, v22.2d, v19.2d 4E80387D zip1 v29.4s, v3.4s, v0.4s 4E053AA8 zip1 v8.16b, v21.16b, v5.16b 4E86389A zip1 v26.4s, v4.4s, v6.4s 4ECF3A2F zip1 v15.2d, v17.2d, v15.2d 0E123B77 zip1 v23.8b, v27.8b, v18.8b 0E1A3BA8 zip1 v8.8b, v29.8b, v26.8b 4E8C397A zip1 v26.4s, v11.4s, v12.4s 4E8A38E1 zip1 v1.4s, v7.4s, v10.4s 4E413B7E zip1 v30.8h, v27.8h, v1.8h 4E5B3AA0 zip1 v0.8h, v21.8h, v27.8h 4EC33AF3 zip1 v19.2d, v23.2d, v3.2d 0E1A3A34 zip1 v20.8b, v17.8b, v26.8b // ZIP2_asimdperm_only 0x001110xx0xxxxx011110xxxxxxxxxx 0E5C7AC2 zip2 v2.4h, v22.4h, v28.4h 0E417A72 zip2 v18.4h, v19.4h, v1.4h 4EDA7857 zip2 v23.2d, v2.2d, v26.2d 0E4F7B26 zip2 v6.4h, v25.4h, v15.4h 0E9F796C zip2 v12.2s, v11.2s, v31.2s 0E867B9D zip2 v29.2s, v28.2s, v6.2s 4E1F787F zip2 v31.16b, v3.16b, v31.16b 0E477B67 zip2 v7.4h, v27.4h, v7.4h 4E8D782A zip2 v10.4s, v1.4s, v13.4s 0E5E790D zip2 v13.4h, v8.4h, v30.4h 4ECA7AF7 zip2 v23.2d, v23.2d, v10.2d 4E4078AD zip2 v13.8h, v5.8h, v0.8h 4E077B9A zip2 v26.16b, v28.16b, v7.16b 0E8A78A8 zip2 v8.2s, v5.2s, v10.2s 4E447AAD zip2 v13.8h, v21.8h, v4.8h 0E067870 zip2 v16.8b, v3.8b, v6.8b // abs_z_p_z_ 00000100xx010110101xxxxxxxxxxxxx 0496BFB2 abs z18.s, p7/m, z29.s 04D6AA79 abs z25.d, p2/m, z19.d 04D6A836 abs z22.d, p2/m, z1.d 0456BE55 abs z21.h, p7/m, z18.h 0416A3A1 abs z1.b, p0/m, z29.b 0496BB8E abs z14.s, p6/m, z28.s 0416AB75 abs z21.b, p2/m, z27.b 0496ACC4 abs z4.s, p3/m, z6.s 0496BC74 abs z20.s, p7/m, z3.s 04D6BE09 abs z9.d, p7/m, z16.d 0416B606 abs z6.b, p5/m, z16.b 0416B13F abs z31.b, p4/m, z9.b 0416AD60 abs z0.b, p3/m, z11.b 04D6B659 abs z25.d, p5/m, z18.d 0416B7F6 abs z22.b, p5/m, z31.b 04D6B985 abs z5.d, p6/m, z12.d // add_z_p_zz_ 00000100xx00000000xxxxxxxxxxxxxx 04C011A5 add z5.d, p4/m, z5.d, z13.d 04C01C76 add z22.d, p7/m, z22.d, z3.d 0440055F add z31.h, p1/m, z31.h, z10.h 04C01B32 add z18.d, p6/m, z18.d, z25.d 044013E7 add z7.h, p4/m, z7.h, z31.h 04C00F92 add z18.d, p3/m, z18.d, z28.d 04801F2C add z12.s, p7/m, z12.s, z25.s 04C00805 add z5.d, p2/m, z5.d, z0.d 04401810 add z16.h, p6/m, z16.h, z0.h 04400D1A add z26.h, p3/m, z26.h, z8.h 04400AE1 add z1.h, p2/m, z1.h, z23.h 040011D1 add z17.b, p4/m, z17.b, z14.b 048011DF add z31.s, p4/m, z31.s, z14.s 0480135B add z27.s, p4/m, z27.s, z26.s 04C0014A add z10.d, p0/m, z10.d, z10.d 04C0088F add z15.d, p2/m, z15.d, z4.d // add_z_zi_ 00100101xx10000011xxxxxxxxxxxxxx 2520DCBF add z31.b, z31.b, #0xe5 25E0F86C add z12.d, z12.d, #0xc300 25E0E169 add z9.d, z9.d, #0xb00 25A0F44B add z11.s, z11.s, #0xa200 25A0EA44 add z4.s, z4.s, #0x5200 2560E267 add z7.h, z7.h, #0x1300 2560E4BD add z29.h, z29.h, #0x2500 25A0CE10 add z16.s, z16.s, #0x70 2560F6FC add z28.h, z28.h, #0xb700 25A0F270 add z16.s, z16.s, #0x9300 25A0E43E add z30.s, z30.s, #0x2100 25E0D103 add z3.d, z3.d, #0x88 2560E0A8 add z8.h, z8.h, #0x500 25A0C80D add z13.s, z13.s, #0x40 25E0E348 add z8.d, z8.d, #0x1a00 25A0FFD0 add z16.s, z16.s, #0xfe00 // add_z_zz_ 00000100xx1xxxxx000000xxxxxxxxxx 046A03AD add z13.h, z29.h, z10.h 04FE0238 add z24.d, z17.d, z30.d 04B301F3 add z19.s, z15.s, z19.s 04B70123 add z3.s, z9.s, z23.s 043D0184 add z4.b, z12.b, z29.b 04AF0255 add z21.s, z18.s, z15.s 04650202 add z2.h, z16.h, z5.h 042703D5 add z21.b, z30.b, z7.b 046E038D add z13.h, z28.h, z14.h 04FE0296 add z22.d, z20.d, z30.d 04AF01CA add z10.s, z14.s, z15.s 04E20098 add z24.d, z4.d, z2.d 04F5008E add z14.d, z4.d, z21.d 047E03B6 add z22.h, z29.h, z30.h 046C0360 add z0.h, z27.h, z12.h 043702BA add z26.b, z21.b, z23.b // addpl_r_ri_ 00000100011xxxxx01010xxxxxxxxxxx 04635315 addpl x21, x3, #0x18 047257EB addpl x11, x18, #-1 04605136 addpl x22, x0, #0x9 046851F1 addpl x17, x8, #0xf 0470544C addpl x12, x16, #-30 0461531F addpl sp, x1, #0x18 046055EF addpl x15, x0, #-17 047857DB addpl x27, x24, #-2 046852E1 addpl x1, x8, #0x17 047653EC addpl x12, x22, #0x1f 046B532F addpl x15, x11, #0x19 046655B6 addpl x22, x6, #-19 046156E0 addpl x0, x1, #-9 046D56A6 addpl x6, x13, #-11 047A5412 addpl x18, x26, #-32 04795795 addpl x21, x25, #-4 // addvl_r_ri_ 00000100001xxxxx01010xxxxxxxxxxx 042D508F addvl x15, x13, #0x4 04335269 addvl x9, x19, #0x13 042756A8 addvl x8, x7, #-11 042054C3 addvl x3, x0, #-26 043055FF addvl sp, x16, #-17 042C5475 addvl x21, x12, #-29 043A51C3 addvl x3, x26, #0xe 043C5035 addvl x21, x28, #0x1 043F564E addvl x14, sp, #-14 0420561B addvl x27, x0, #-16 04385768 addvl x8, x24, #-5 04325514 addvl x20, x18, #-24 042053F6 addvl x22, x0, #0x1f 043B504E addvl x14, x27, #0x2 042857CD addvl x13, x8, #-2 042A5214 addvl x20, x10, #0x10 // adr_z_az_d_s32_scaled 00000100001xxxxx101xxxxxxxxxxxxx 042DA518 adr z24.d, [z8.d, z13.d, sxtw #0x1] // 042CA18E adr z14.d, [z12.d, z12.d, sxtw] // spec says sxtw is absent! 042CA18E adr z14.d, [z12.d, z12.d] 043AAA28 adr z8.d, [z17.d, z26.d, sxtw #0x2] 042FA42F adr z15.d, [z1.d, z15.d, sxtw #0x1] 042AAD80 adr z0.d, [z12.d, z10.d, sxtw #0x3] 043AA976 adr z22.d, [z11.d, z26.d, sxtw #0x2] 0437AF9A adr z26.d, [z28.d, z23.d, sxtw #0x3] 0433A7D8 adr z24.d, [z30.d, z19.d, sxtw #0x1] // 043AA067 adr z7.d, [z3.d, z26.d, sxtw] 043AA067 adr z7.d, [z3.d, z26.d] 043CA796 adr z22.d, [z28.d, z28.d, sxtw #0x1] 0429AF3E adr z30.d, [z25.d, z9.d, sxtw #0x3] 0437ACBD adr z29.d, [z5.d, z23.d, sxtw #0x3] 0424ADD1 adr z17.d, [z14.d, z4.d, sxtw #0x3] 0424AD2B adr z11.d, [z9.d, z4.d, sxtw #0x3] 0423ADF8 adr z24.d, [z15.d, z3.d, sxtw #0x3] // 043FA17D adr z29.d, [z11.d, z31.d, sxtw] 043FA17D adr z29.d, [z11.d, z31.d] // adr_z_az_d_u32_scaled 00000100011xxxxx1010xxxxxxxxxxxx 0468A540 adr z0.d, [z10.d, z8.d, uxtw #0x1] // 046BA233 adr z19.d, [z17.d, z11.d, uxtw] // shold be absent! 046BA233 adr z19.d, [z17.d, z11.d] 0470A7B4 adr z20.d, [z29.d, z16.d, uxtw #0x1] 0463A897 adr z23.d, [z4.d, z3.d, uxtw #0x2] 0461A42D adr z13.d, [z1.d, z1.d, uxtw #0x1] 047BA6C3 adr z3.d, [z22.d, z27.d, uxtw #0x1] 0461AFA8 adr z8.d, [z29.d, z1.d, uxtw #0x3] 0479A341 adr z1.d, [z26.d, z25.d] 046BA749 adr z9.d, [z26.d, z11.d, uxtw #0x1] 0466A873 adr z19.d, [z3.d, z6.d, uxtw #0x2] 047FA7F2 adr z18.d, [z31.d, z31.d, uxtw #0x1] 047DA7C2 adr z2.d, [z30.d, z29.d, uxtw #0x1] 046AA6EB adr z11.d, [z23.d, z10.d, uxtw #0x1] 0479A37D adr z29.d, [z27.d, z25.d] 0471AFFD adr z29.d, [z31.d, z17.d, uxtw #0x3] 046EA130 adr z16.d, [z9.d, z14.d] // adr_z_az_sd_same_scaled 000001001x1xxxxx1010xxxxxxxxxxxx 04A1AC09 adr z9.s, [z0.s, z1.s, lsl #0x3] 04F5A5F4 adr z20.d, [z15.d, z21.d, lsl #0x1] 04B0A515 adr z21.s, [z8.s, z16.s, lsl #0x1] 04B9AF31 adr z17.s, [z25.s, z25.s, lsl #0x3] 04B3AF99 adr z25.s, [z28.s, z19.s, lsl #0x3] 04FAA337 adr z23.d, [z25.d, z26.d] 04A4AD2F adr z15.s, [z9.s, z4.s, lsl #0x3] 04F6AF64 adr z4.d, [z27.d, z22.d, lsl #0x3] 04EEA9D4 adr z20.d, [z14.d, z14.d, lsl #0x2] 04EDA42E adr z14.d, [z1.d, z13.d, lsl #0x1] 04FAA3F1 adr z17.d, [z31.d, z26.d] 04E9AFD3 adr z19.d, [z30.d, z9.d, lsl #0x3] 04E0AF8D adr z13.d, [z28.d, z0.d, lsl #0x3] 04A8AE58 adr z24.s, [z18.s, z8.s, lsl #0x3] 04ABA0E5 adr z5.s, [z7.s, z11.s] 04EBACA3 adr z3.d, [z5.d, z11.d, lsl #0x3] // and_p_p_pp_z 001001010000xxxx01xxxx0xxxx0xxxx 25054D29 and p9.b, p3/z, p9.b, p5.b 25025DC7 and p7.b, p7/z, p14.b, p2.b 250849A7 and p7.b, p2/z, p13.b, p8.b 250D7D26 and p6.b, p15/z, p9.b, p13.b 250460EE and p14.b, p8/z, p7.b, p4.b 25054488 and p8.b, p1/z, p4.b, p5.b 250E6DA3 and p3.b, p11/z, p13.b, p14.b 25086CA6 and p6.b, p11/z, p5.b, p8.b 250A49E4 and p4.b, p2/z, p15.b, p10.b 2506498D and p13.b, p2/z, p12.b, p6.b 250559ED and p13.b, p6/z, p15.b, p5.b 25044127 and p7.b, p0/z, p9.b, p4.b 25035CC2 and p2.b, p7/z, p6.b, p3.b 250E55E7 and p7.b, p5/z, p15.b, p14.b 250B48CA and p10.b, p2/z, p6.b, p11.b 2504542E and p14.b, p5/z, p1.b, p4.b // and_z_p_zz_ 00000100xx011010000xxxxxxxxxxxxx 041A0577 and z23.b, p1/m, z23.b, z11.b 041A05AD and z13.b, p1/m, z13.b, z13.b 041A085C and z28.b, p2/m, z28.b, z2.b 04DA1359 and z25.d, p4/m, z25.d, z26.d 045A1FD0 and z16.h, p7/m, z16.h, z30.h 045A0639 and z25.h, p1/m, z25.h, z17.h 045A19DB and z27.h, p6/m, z27.h, z14.h 04DA136C and z12.d, p4/m, z12.d, z27.d 045A1E75 and z21.h, p7/m, z21.h, z19.h 04DA1DF8 and z24.d, p7/m, z24.d, z15.d 049A0BC1 and z1.s, p2/m, z1.s, z30.s 049A13FE and z30.s, p4/m, z30.s, z31.s 045A0061 and z1.h, p0/m, z1.h, z3.h 049A18E3 and z3.s, p6/m, z3.s, z7.s 045A06A7 and z7.h, p1/m, z7.h, z21.h 049A1102 and z2.s, p4/m, z2.s, z8.s // and_z_zi_ 00000101100000xxxxxxxxxxxxxxxxxx 058165CC and z12.h, z12.h, #0xfff7 05829E48 and z8.d, z8.d, #0xffffe000ffffffff 0580F9FC and z28.s, z28.s, #0x1fffe 058144D0 and z16.h, z16.h, #0x7f00 05814A31 and z17.s, z17.s, #0xff8001ff 0582057E and z30.d, z30.d, #0xfffffffffff 05801804 and z4.s, z4.s, #0x20000000 0583F8DF and z31.d, z31.d, #0xfe 0581D13F and z31.s, z31.s, #0xffc0 05828A9A and z26.d, z26.d, #0xffff80000000000f 05827246 and z6.d, z6.d, #0xfffc00000000001f 05802B4E and z14.s, z14.s, #0xf83fffff 05837E3C and z28.d, z28.d, #0xfffffffffffe0007 058359D3 and z19.d, z19.d, #0xfffe00000 05823E33 and z19.d, z19.d, #0xfe0007ffffffffff 05810F27 and z7.b, z7.b, #0x99 // and_z_zz_ 00000100001xxxxx001100xxxxxxxxxx 0434317D and z29.d, z11.d, z20.d 04293217 and z23.d, z16.d, z9.d 043633FC and z28.d, z31.d, z22.d 04233054 and z20.d, z2.d, z3.d 0424327A and z26.d, z19.d, z4.d 04353344 and z4.d, z26.d, z21.d 043B30F6 and z22.d, z7.d, z27.d 042F33B3 and z19.d, z29.d, z15.d 043830FF and z31.d, z7.d, z24.d 042233AB and z11.d, z29.d, z2.d 042E31E1 and z1.d, z15.d, z14.d 04273231 and z17.d, z17.d, z7.d 042D31B3 and z19.d, z13.d, z13.d 042631B2 and z18.d, z13.d, z6.d 04383344 and z4.d, z26.d, z24.d 04323011 and z17.d, z0.d, z18.d // ands_p_p_pp_z 001001010100xxxx01xxxx0xxxx0xxxx 254758C8 ands p8.b, p6/z, p6.b, p7.b 254078A6 ands p6.b, p14/z, p5.b, p0.b 254261EB ands p11.b, p8/z, p15.b, p2.b 25457CC6 ands p6.b, p15/z, p6.b, p5.b 25495C0A ands p10.b, p7/z, p0.b, p9.b 254B5C8B ands p11.b, p7/z, p4.b, p11.b 254F6102 ands p2.b, p8/z, p8.b, p15.b 254A6843 ands p3.b, p10/z, p2.b, p10.b 254559C8 ands p8.b, p6/z, p14.b, p5.b 25426905 ands p5.b, p10/z, p8.b, p2.b 254344AC ands p12.b, p1/z, p5.b, p3.b 25456062 ands p2.b, p8/z, p3.b, p5.b 25477DC4 ands p4.b, p15/z, p14.b, p7.b 25495D0F ands p15.b, p7/z, p8.b, p9.b 254E50E7 ands p7.b, p4/z, p7.b, p14.b 254C60C1 ands p1.b, p8/z, p6.b, p12.b // andv_r_p_z_ 00000100xx011010001xxxxxxxxxxxxx 041A23E7 andv b7, p0, z31.b 04DA2C7A andv d26, p3, z3.d 041A23F5 andv b21, p0, z31.b 041A3195 andv b21, p4, z12.b 049A26D5 andv s21, p1, z22.s 045A2434 andv h20, p1, z1.h 04DA2711 andv d17, p1, z24.d 045A20D0 andv h16, p0, z6.h 04DA3426 andv d6, p5, z1.d 041A34B4 andv b20, p5, z5.b 049A3EC8 andv s8, p7, z22.s 045A3C50 andv h16, p7, z2.h 041A2841 andv b1, p2, z2.b 049A261E andv s30, p1, z16.s 041A2235 andv b21, p0, z17.b 04DA2EE3 andv d3, p3, z23.d // asr_z_p_zi_ 00000100xx000000100xxxxxxxxxxxxx 04809AA8 asr z8.d, p6/m, z8.d, #0x2b 04808C96 asr z22.d, p3/m, z22.d, #0x3c 04408E10 asr z16.s, p3/m, z16.s, #0x10 04409476 asr z22.s, p5/m, z22.s, #0x1d 04C09255 asr z21.d, p4/m, z21.d, #0xe 048098AD asr z13.d, p6/m, z13.d, #0x3b 04008181 asr z1.b, p0/m, z1.b, #0x4 0480872C asr z12.d, p1/m, z12.d, #0x27 04C095DB asr z27.d, p5/m, z27.d, #0x12 0400892D asr z13.b, p2/m, z13.b, #0x7 040087FE asr z30.h, p1/m, z30.h, #0x1 040096C9 asr z9.h, p5/m, z9.h, #0xa 048084A6 asr z6.d, p1/m, z6.d, #0x3b 04C09E7D asr z29.d, p7/m, z29.d, #0xd 0480810C asr z12.d, p0/m, z12.d, #0x38 040095D9 asr z25.b, p5/m, z25.b, #0x2 // asr_z_p_zw_ 00000100xx011000100xxxxxxxxxxxxx 04988FC5 asr z5.s, p3/m, z5.s, z30.d 049893B0 asr z16.s, p4/m, z16.s, z29.d 04189A1D asr z29.b, p6/m, z29.b, z16.d 04589421 asr z1.h, p5/m, z1.h, z1.d 041895E4 asr z4.b, p5/m, z4.b, z15.d 04589344 asr z4.h, p4/m, z4.h, z26.d 04588652 asr z18.h, p1/m, z18.h, z18.d 04588F37 asr z23.h, p3/m, z23.h, z25.d 04188560 asr z0.b, p1/m, z0.b, z11.d 04988EDF asr z31.s, p3/m, z31.s, z22.d 04588BC7 asr z7.h, p2/m, z7.h, z30.d 04188221 asr z1.b, p0/m, z1.b, z17.d 04988939 asr z25.s, p2/m, z25.s, z9.d 0498850A asr z10.s, p1/m, z10.s, z8.d 04589F2C asr z12.h, p7/m, z12.h, z25.d 04588FF3 asr z19.h, p3/m, z19.h, z31.d // asr_z_p_zz_ 00000100xx01000010xxxxxxxxxxxxxx 04109CDD asr z29.b, p7/m, z29.b, z6.b 04D08611 asr z17.d, p1/m, z17.d, z16.d 04909FDD asr z29.s, p7/m, z29.s, z30.s 04108683 asr z3.b, p1/m, z3.b, z20.b 04909477 asr z23.s, p5/m, z23.s, z3.s 045089EB asr z11.h, p2/m, z11.h, z15.h 045085A0 asr z0.h, p1/m, z0.h, z13.h 04D08938 asr z24.d, p2/m, z24.d, z9.d 045087C1 asr z1.h, p1/m, z1.h, z30.h 04D09FE7 asr z7.d, p7/m, z7.d, z31.d 04909EF4 asr z20.s, p7/m, z20.s, z23.s 0490862E asr z14.s, p1/m, z14.s, z17.s 04908E05 asr z5.s, p3/m, z5.s, z16.s 045099C3 asr z3.h, p6/m, z3.h, z14.h 04908340 asr z0.s, p0/m, z0.s, z26.s 0450969E asr z30.h, p5/m, z30.h, z20.h // asr_z_zi_ 00000100xx1xxxxx100100xxxxxxxxxx 042F93EC asr z12.b, z31.b, #0x1 04A090C4 asr z4.d, z6.d, #0x40 046990DB asr z27.s, z6.s, #0x17 0473901E asr z30.s, z0.s, #0xd 04EA91C0 asr z0.d, z14.d, #0x16 04BF937A asr z26.d, z27.d, #0x21 043D910D asr z13.h, z8.h, #0x3 047B9384 asr z4.s, z28.s, #0x5 04EC909C asr z28.d, z4.d, #0x14 0467920E asr z14.s, z16.s, #0x19 04709147 asr z7.s, z10.s, #0x10 042F93E0 asr z0.b, z31.b, #0x1 047D92B5 asr z21.s, z21.s, #0x3 04F791B6 asr z22.d, z13.d, #0x9 0471923D asr z29.s, z17.s, #0xf 04FF927E asr z30.d, z19.d, #0x1 // asr_z_zw_ 00000100xx1xxxxx100000xxxxxxxxxx 04AB8110 asr z16.s, z8.s, z11.d 0466835B asr z27.h, z26.h, z6.d 042B8338 asr z24.b, z25.b, z11.d 04638251 asr z17.h, z18.h, z3.d 04B483A1 asr z1.s, z29.s, z20.d 047A83F4 asr z20.h, z31.h, z26.d 04378055 asr z21.b, z2.b, z23.d 043181BF asr z31.b, z13.b, z17.d 04B183A5 asr z5.s, z29.s, z17.d 042E8218 asr z24.b, z16.b, z14.d 04A2806F asr z15.s, z3.s, z2.d 04B08171 asr z17.s, z11.s, z16.d 04768163 asr z3.h, z11.h, z22.d 04298077 asr z23.b, z3.b, z9.d 04378192 asr z18.b, z12.b, z23.d 04AE829C asr z28.s, z20.s, z14.d // asrd_z_p_zi_ 00000100xx000100100xxxxxxxxxxxxx 04048E16 asrd z22.h, p3/m, z22.h, #0x10 04849C64 asrd z4.d, p7/m, z4.d, #0x3d 040481A0 asrd z0.b, p0/m, z0.b, #0x3 04C4895E asrd z30.d, p2/m, z30.d, #0x16 04C48EEB asrd z11.d, p3/m, z11.d, #0x9 04848C22 asrd z2.d, p3/m, z2.d, #0x3f 04C48A8A asrd z10.d, p2/m, z10.d, #0xc 04448930 asrd z16.s, p2/m, z16.s, #0x17 04049B0D asrd z13.h, p6/m, z13.h, #0x8 048482D7 asrd z23.d, p0/m, z23.d, #0x2a 04C494CA asrd z10.d, p5/m, z10.d, #0x1a 04049661 asrd z1.h, p5/m, z1.h, #0xd 04449E94 asrd z20.s, p7/m, z20.s, #0xc 048482A1 asrd z1.d, p0/m, z1.d, #0x2b 0404876F asrd z15.h, p1/m, z15.h, #0x5 044486B0 asrd z16.s, p1/m, z16.s, #0xb // asrr_z_p_zz_ 00000100xx01010010xxxxxxxxxxxxxx 04549B91 asrr z17.h, p6/m, z17.h, z28.h 04148FAB asrr z11.b, p3/m, z11.b, z29.b 04949806 asrr z6.s, p6/m, z6.s, z0.s 04149F07 asrr z7.b, p7/m, z7.b, z24.b 04149075 asrr z21.b, p4/m, z21.b, z3.b 04549D1D asrr z29.h, p7/m, z29.h, z8.h 04549EB9 asrr z25.h, p7/m, z25.h, z21.h 04548423 asrr z3.h, p1/m, z3.h, z1.h 0454877C asrr z28.h, p1/m, z28.h, z27.h 049496E8 asrr z8.s, p5/m, z8.s, z23.s 04149BFD asrr z29.b, p6/m, z29.b, z31.b 0414872F asrr z15.b, p1/m, z15.b, z25.b 04148070 asrr z16.b, p0/m, z16.b, z3.b 04148C90 asrr z16.b, p3/m, z16.b, z4.b 04D482D2 asrr z18.d, p0/m, z18.d, z22.d 049484E5 asrr z5.s, p1/m, z5.s, z7.s // bfcvt_z_p_z_s2bf 0110010110001010101xxxxxxxxxxxxx // bfcvtnt_z_p_z_s2bf 0110010010001010101xxxxxxxxxxxxx // bfdot_z_zzz_ 01100100011xxxxx100000xxxxxxxxxx // bfdot_z_zzzi_ 01100100011xxxxx010000xxxxxxxxxx // bfmlalb_z_zzz_ 01100100111xxxxx100000xxxxxxxxxx // bfmlalb_z_zzzi_ 01100100111xxxxx0100x0xxxxxxxxxx // bfmlalt_z_zzz_ 01100100111xxxxx100001xxxxxxxxxx // bfmlalt_z_zzzi_ 01100100111xxxxx0100x1xxxxxxxxxx // bfmmla_z_zzz_ 01100100011xxxxx111001xxxxxxxxxx // bic_p_p_pp_z 001001010000xxxx01xxxxxxxxxxxxxx 25097471 bic p1.b, p13/z, p3.b, p9.b 250F683B bic p11.b, p10/z, p1.b, p15.b 25016DD0 bic p0.b, p11/z, p14.b, p1.b 250D491F bic p15.b, p2/z, p8.b, p13.b 25036052 bic p2.b, p8/z, p2.b, p3.b 250A71F8 bic p8.b, p12/z, p15.b, p10.b 250E4955 bic p5.b, p2/z, p10.b, p14.b 25044CB4 bic p4.b, p3/z, p5.b, p4.b 250B5150 bic p0.b, p4/z, p10.b, p11.b 250C71B5 bic p5.b, p12/z, p13.b, p12.b 250F7419 bic p9.b, p13/z, p0.b, p15.b 250960DF bic p15.b, p8/z, p6.b, p9.b 2503709F bic p15.b, p12/z, p4.b, p3.b 250351FE bic p14.b, p4/z, p15.b, p3.b 250B7D59 bic p9.b, p15/z, p10.b, p11.b 2500657F bic p15.b, p9/z, p11.b, p0.b // bic_z_p_zz_ 00000100xx011011000xxxxxxxxxxxxx 041B1F67 bic z7.b, p7/m, z7.b, z27.b 045B02B7 bic z23.h, p0/m, z23.h, z21.h 041B0524 bic z4.b, p1/m, z4.b, z9.b 049B1419 bic z25.s, p5/m, z25.s, z0.s 049B162E bic z14.s, p5/m, z14.s, z17.s 045B05C2 bic z2.h, p1/m, z2.h, z14.h 04DB1353 bic z19.d, p4/m, z19.d, z26.d 041B02B0 bic z16.b, p0/m, z16.b, z21.b 041B1B30 bic z16.b, p6/m, z16.b, z25.b 04DB14A1 bic z1.d, p5/m, z1.d, z5.d 049B04E7 bic z7.s, p1/m, z7.s, z7.s 045B0D39 bic z25.h, p3/m, z25.h, z9.h 049B0A56 bic z22.s, p2/m, z22.s, z18.s 041B0D06 bic z6.b, p3/m, z6.b, z8.b 045B0837 bic z23.h, p2/m, z23.h, z1.h 04DB0346 bic z6.d, p0/m, z6.d, z26.d // bic_z_zz_ 00000100111xxxxx001100xxxxxxxxxx 04E932D8 bic z24.d, z22.d, z9.d 04ED30E0 bic z0.d, z7.d, z13.d 04F432E4 bic z4.d, z23.d, z20.d 04E53353 bic z19.d, z26.d, z5.d 04FD3385 bic z5.d, z28.d, z29.d 04E53316 bic z22.d, z24.d, z5.d 04EB3256 bic z22.d, z18.d, z11.d 04F83087 bic z7.d, z4.d, z24.d 04E632E9 bic z9.d, z23.d, z6.d 04FC33A5 bic z5.d, z29.d, z28.d 04F83183 bic z3.d, z12.d, z24.d 04F13204 bic z4.d, z16.d, z17.d 04EE31DD bic z29.d, z14.d, z14.d 04F93154 bic z20.d, z10.d, z25.d 04E43182 bic z2.d, z12.d, z4.d 04E63381 bic z1.d, z28.d, z6.d // bics_p_p_pp_z 001001010100xxxx01xxxx0xxxx1xxxx 25417012 bics p2.b, p12/z, p0.b, p1.b 2541587D bics p13.b, p6/z, p3.b, p1.b 254E4D18 bics p8.b, p3/z, p8.b, p14.b 25444D16 bics p6.b, p3/z, p8.b, p4.b 25444D32 bics p2.b, p3/z, p9.b, p4.b 25457CF7 bics p7.b, p15/z, p7.b, p5.b 25454DFA bics p10.b, p3/z, p15.b, p5.b 25485C50 bics p0.b, p7/z, p2.b, p8.b 254470F5 bics p5.b, p12/z, p7.b, p4.b 25486415 bics p5.b, p9/z, p0.b, p8.b 254D6834 bics p4.b, p10/z, p1.b, p13.b 25427915 bics p5.b, p14/z, p8.b, p2.b 254A415E bics p14.b, p0/z, p10.b, p10.b 2540785B bics p11.b, p14/z, p2.b, p0.b 25444571 bics p1.b, p1/z, p11.b, p4.b 25404858 bics p8.b, p2/z, p2.b, p0.b // brka_p_p_p_ 001001010001000001xxxx0xxxxxxxxx 25106589 brka p9.b, p9/z, p12.b 2510646B brka p11.b, p9/z, p3.b 25106185 brka p5.b, p8/z, p12.b 25104D4F brka p15.b, p3/z, p10.b 251071B7 brka p7.b, p12/m, p13.b 25104CD8 brka p8.b, p3/m, p6.b 25104533 brka p3.b, p1/m, p9.b 25107066 brka p6.b, p12/z, p3.b 25105099 brka p9.b, p4/m, p4.b 25107924 brka p4.b, p14/z, p9.b 251071F8 brka p8.b, p12/m, p15.b 25106023 brka p3.b, p8/z, p1.b 251041A8 brka p8.b, p0/z, p13.b 2510503B brka p11.b, p4/m, p1.b 2510785D brka p13.b, p14/m, p2.b 25107022 brka p2.b, p12/z, p1.b // brkas_p_p_p_z 001001010101000001xxxx0xxxx0xxxx 25505426 brkas p6.b, p5/z, p1.b 255070E9 brkas p9.b, p12/z, p7.b 25504C0B brkas p11.b, p3/z, p0.b 25507D29 brkas p9.b, p15/z, p9.b 2550614E brkas p14.b, p8/z, p10.b 25505D6B brkas p11.b, p7/z, p11.b 255048EC brkas p12.b, p2/z, p7.b 255071C7 brkas p7.b, p12/z, p14.b 255060E1 brkas p1.b, p8/z, p7.b 255068E3 brkas p3.b, p10/z, p7.b 25505CA5 brkas p5.b, p7/z, p5.b 255078A9 brkas p9.b, p14/z, p5.b 255055A4 brkas p4.b, p5/z, p13.b 25504142 brkas p2.b, p0/z, p10.b 25507D09 brkas p9.b, p15/z, p8.b 2550682A brkas p10.b, p10/z, p1.b // brkb_p_p_p_ 001001011001000001xxxx0xxxxxxxxx 259044FA brkb p10.b, p1/m, p7.b 25907971 brkb p1.b, p14/m, p11.b 2590588F brkb p15.b, p6/z, p4.b 259040F2 brkb p2.b, p0/m, p7.b 2590543A brkb p10.b, p5/m, p1.b 25905C33 brkb p3.b, p7/m, p1.b 25906568 brkb p8.b, p9/z, p11.b 25907DCA brkb p10.b, p15/z, p14.b 2590406B brkb p11.b, p0/z, p3.b 25906D67 brkb p7.b, p11/z, p11.b 259068C1 brkb p1.b, p10/z, p6.b 25905197 brkb p7.b, p4/m, p12.b 25907003 brkb p3.b, p12/z, p0.b 25907970 brkb p0.b, p14/m, p11.b 259040A1 brkb p1.b, p0/z, p5.b 25906D05 brkb p5.b, p11/z, p8.b // brkbs_p_p_p_z 001001011101000001xxxx0xxxx0xxxx 25D070E6 brkbs p6.b, p12/z, p7.b 25D05CAD brkbs p13.b, p7/z, p5.b 25D04CE5 brkbs p5.b, p3/z, p7.b 25D049A4 brkbs p4.b, p2/z, p13.b 25D0682A brkbs p10.b, p10/z, p1.b 25D07D44 brkbs p4.b, p15/z, p10.b 25D0716A brkbs p10.b, p12/z, p11.b 25D07526 brkbs p6.b, p13/z, p9.b 25D0416C brkbs p12.b, p0/z, p11.b 25D06581 brkbs p1.b, p9/z, p12.b 25D04183 brkbs p3.b, p0/z, p12.b 25D0588C brkbs p12.b, p6/z, p4.b 25D06C8E brkbs p14.b, p11/z, p4.b 25D04C2F brkbs p15.b, p3/z, p1.b 25D045C8 brkbs p8.b, p1/z, p14.b 25D07882 brkbs p2.b, p14/z, p4.b // brkn_p_p_pp_ 001001010001100001xxxx0xxxx0xxxx 251878C5 brkn p5.b, p14/z, p6.b, p5.b 25184047 brkn p7.b, p0/z, p2.b, p7.b 251878AE brkn p14.b, p14/z, p5.b, p14.b 2518644E brkn p14.b, p9/z, p2.b, p14.b 251848CD brkn p13.b, p2/z, p6.b, p13.b 251868EA brkn p10.b, p10/z, p7.b, p10.b 25184445 brkn p5.b, p1/z, p2.b, p5.b 251860CC brkn p12.b, p8/z, p6.b, p12.b 25184D63 brkn p3.b, p3/z, p11.b, p3.b 2518746B brkn p11.b, p13/z, p3.b, p11.b 25185443 brkn p3.b, p5/z, p2.b, p3.b 25187D62 brkn p2.b, p15/z, p11.b, p2.b 251864E0 brkn p0.b, p9/z, p7.b, p0.b 25187945 brkn p5.b, p14/z, p10.b, p5.b 25186C88 brkn p8.b, p11/z, p4.b, p8.b 25187D26 brkn p6.b, p15/z, p9.b, p6.b // brkns_p_p_pp_ 001001010101100001xxxx0xxxx0xxxx 2558746A brkns p10.b, p13/z, p3.b, p10.b 25584082 brkns p2.b, p0/z, p4.b, p2.b 2558480C brkns p12.b, p2/z, p0.b, p12.b 255859E7 brkns p7.b, p6/z, p15.b, p7.b 2558706D brkns p13.b, p12/z, p3.b, p13.b 25585CAE brkns p14.b, p7/z, p5.b, p14.b 25586C29 brkns p9.b, p11/z, p1.b, p9.b 25586805 brkns p5.b, p10/z, p0.b, p5.b 25586062 brkns p2.b, p8/z, p3.b, p2.b 25585882 brkns p2.b, p6/z, p4.b, p2.b 255878A4 brkns p4.b, p14/z, p5.b, p4.b 255855E8 brkns p8.b, p5/z, p15.b, p8.b 25586844 brkns p4.b, p10/z, p2.b, p4.b 2558544C brkns p12.b, p5/z, p2.b, p12.b 25586D2E brkns p14.b, p11/z, p9.b, p14.b 25586D61 brkns p1.b, p11/z, p11.b, p1.b // brkpa_p_p_pp_ 001001010000xxxx11xxxx0xxxx0xxxx 250ADD20 brkpa p0.b, p7/z, p9.b, p10.b 2505D063 brkpa p3.b, p4/z, p3.b, p5.b 250BD9CD brkpa p13.b, p6/z, p14.b, p11.b 2509E9A1 brkpa p1.b, p10/z, p13.b, p9.b 250FD086 brkpa p6.b, p4/z, p4.b, p15.b 2502E568 brkpa p8.b, p9/z, p11.b, p2.b 250BD9AA brkpa p10.b, p6/z, p13.b, p11.b 2507F00B brkpa p11.b, p12/z, p0.b, p7.b 250BD58D brkpa p13.b, p5/z, p12.b, p11.b 2509C088 brkpa p8.b, p0/z, p4.b, p9.b 250FF00D brkpa p13.b, p12/z, p0.b, p15.b 2504F84C brkpa p12.b, p14/z, p2.b, p4.b 250AECA8 brkpa p8.b, p11/z, p5.b, p10.b 2506F48E brkpa p14.b, p13/z, p4.b, p6.b 2501F162 brkpa p2.b, p12/z, p11.b, p1.b 250FE42C brkpa p12.b, p9/z, p1.b, p15.b // brkpas_p_p_pp_ 001001010100xxxx11xxxx0xxxx0xxxx 254BDDA7 brkpas p7.b, p7/z, p13.b, p11.b 2549F809 brkpas p9.b, p14/z, p0.b, p9.b 2540FCC8 brkpas p8.b, p15/z, p6.b, p0.b 2547FC67 brkpas p7.b, p15/z, p3.b, p7.b 2542D50F brkpas p15.b, p5/z, p8.b, p2.b 2540C8E2 brkpas p2.b, p2/z, p7.b, p0.b 254FC924 brkpas p4.b, p2/z, p9.b, p15.b 2546FDEA brkpas p10.b, p15/z, p15.b, p6.b 2544C040 brkpas p0.b, p0/z, p2.b, p4.b 2548C02C brkpas p12.b, p0/z, p1.b, p8.b 2543D849 brkpas p9.b, p6/z, p2.b, p3.b 2545D9A3 brkpas p3.b, p6/z, p13.b, p5.b 254ED04E brkpas p14.b, p4/z, p2.b, p14.b 2543D0A7 brkpas p7.b, p4/z, p5.b, p3.b 2545E8CF brkpas p15.b, p10/z, p6.b, p5.b 2546F143 brkpas p3.b, p12/z, p10.b, p6.b // brkpb_p_p_pp_ 001001010000xxxx11xxxx0xxxx1xxxx 250FC4B6 brkpb p6.b, p1/z, p5.b, p15.b 2502D4D3 brkpb p3.b, p5/z, p6.b, p2.b 250AD139 brkpb p9.b, p4/z, p9.b, p10.b 2502CCBA brkpb p10.b, p3/z, p5.b, p2.b 2508C012 brkpb p2.b, p0/z, p0.b, p8.b 250DC578 brkpb p8.b, p1/z, p11.b, p13.b 250DF81A brkpb p10.b, p14/z, p0.b, p13.b 2501D891 brkpb p1.b, p6/z, p4.b, p1.b 2504C17B brkpb p11.b, p0/z, p11.b, p4.b 2505E15F brkpb p15.b, p8/z, p10.b, p5.b 250BC077 brkpb p7.b, p0/z, p3.b, p11.b 250ED575 brkpb p5.b, p5/z, p11.b, p14.b 2504C854 brkpb p4.b, p2/z, p2.b, p4.b 2507C1B1 brkpb p1.b, p0/z, p13.b, p7.b 2502CDF9 brkpb p9.b, p3/z, p15.b, p2.b 2506D8FF brkpb p15.b, p6/z, p7.b, p6.b // brkpbs_p_p_pp_ 001001010100xxxx11xxxx0xxxx1xxxx 2542C8B0 brkpbs p0.b, p2/z, p5.b, p2.b 2543E17A brkpbs p10.b, p8/z, p11.b, p3.b 254ACC9B brkpbs p11.b, p3/z, p4.b, p10.b 2544DDB1 brkpbs p1.b, p7/z, p13.b, p4.b 254FC411 brkpbs p1.b, p1/z, p0.b, p15.b 254DC89B brkpbs p11.b, p2/z, p4.b, p13.b 2549CD5A brkpbs p10.b, p3/z, p10.b, p9.b 2540C958 brkpbs p8.b, p2/z, p10.b, p0.b 254AC113 brkpbs p3.b, p0/z, p8.b, p10.b 254FF195 brkpbs p5.b, p12/z, p12.b, p15.b 254FDDD2 brkpbs p2.b, p7/z, p14.b, p15.b 2541D4BB brkpbs p11.b, p5/z, p5.b, p1.b 2546F4D2 brkpbs p2.b, p13/z, p6.b, p6.b 2543F859 brkpbs p9.b, p14/z, p2.b, p3.b 2549F516 brkpbs p6.b, p13/z, p8.b, p9.b 2549FCBF brkpbs p15.b, p15/z, p5.b, p9.b // clasta_r_p_z_ 00000101xx110000101xxxxxxxxxxxxx 05B0BBEB clasta w11, p6, w11, z31.s 05F0B9C3 clasta x3, p6, x3, z14.d 0570B533 clasta w19, p5, w19, z9.h 05F0B543 clasta x3, p5, x3, z10.d 0570AA23 clasta w3, p2, w3, z17.h 0530B4F2 clasta w18, p5, w18, z7.b 05B0A621 clasta w1, p1, w1, z17.s 05B0A2B7 clasta w23, p0, w23, z21.s 05F0AE84 clasta x4, p3, x4, z20.d 05B0B4B2 clasta w18, p5, w18, z5.s 05B0B9F9 clasta w25, p6, w25, z15.s 0570B0E2 clasta w2, p4, w2, z7.h 05B0BD6C clasta w12, p7, w12, z11.s 05F0AFDC clasta x28, p3, x28, z30.d 0530B880 clasta w0, p6, w0, z4.b 0570B599 clasta w25, p5, w25, z12.h // clasta_v_p_z_ 00000101xx101010100xxxxxxxxxxxxx 05AA914E clasta s14, p4, s14, z10.s 052A9B3E clasta b30, p6, b30, z25.b 05AA9B4C clasta s12, p6, s12, z26.s 05AA8BAE clasta s14, p2, s14, z29.s 052A94E8 clasta b8, p5, b8, z7.b 05EA9B12 clasta d18, p6, d18, z24.d 05AA8328 clasta s8, p0, s8, z25.s 056A8AAD clasta h13, p2, h13, z21.h 052A9BE5 clasta b5, p6, b5, z31.b 05EA8C2A clasta d10, p3, d10, z1.d 05AA8C7A clasta s26, p3, s26, z3.s 05EA8F81 clasta d1, p3, d1, z28.d 052A8F4A clasta b10, p3, b10, z26.b 052A849C clasta b28, p1, b28, z4.b 05EA91B4 clasta d20, p4, d20, z13.d 056A8550 clasta h16, p1, h16, z10.h // clasta_z_p_zz_ 00000101xx101000100xxxxxxxxxxxxx 05E883C0 clasta z0.d, p0, z0.d, z30.d 05689DCF clasta z15.h, p7, z15.h, z14.h 05289867 clasta z7.b, p6, z7.b, z3.b 052885F6 clasta z22.b, p1, z22.b, z15.b 05A88C9B clasta z27.s, p3, z27.s, z4.s 052888C4 clasta z4.b, p2, z4.b, z6.b 0528810F clasta z15.b, p0, z15.b, z8.b 05688195 clasta z21.h, p0, z21.h, z12.h 05A88855 clasta z21.s, p2, z21.s, z2.s 05289439 clasta z25.b, p5, z25.b, z1.b 05E88D5D clasta z29.d, p3, z29.d, z10.d 05E89DE9 clasta z9.d, p7, z9.d, z15.d 05A88E01 clasta z1.s, p3, z1.s, z16.s 05E89FD4 clasta z20.d, p7, z20.d, z30.d 05289EEC clasta z12.b, p7, z12.b, z23.b 05E8958F clasta z15.d, p5, z15.d, z12.d // clastb_r_p_z_ 00000101xx110001101xxxxxxxxxxxxx 0571B796 clastb w22, p5, w22, z28.h 0531BEDC clastb w28, p7, w28, z22.b 05F1B221 clastb x1, p4, x1, z17.d 05B1B75C clastb w28, p5, w28, z26.s 0531AB5B clastb w27, p2, w27, z26.b 05B1B6DD clastb w29, p5, w29, z22.s 0571B40B clastb w11, p5, w11, z0.h 05B1B415 clastb w21, p5, w21, z0.s 05F1A662 clastb x2, p1, x2, z19.d 05B1BD3B clastb w27, p7, w27, z9.s 05F1BBB4 clastb x20, p6, x20, z29.d 0531B785 clastb w5, p5, w5, z28.b 05F1A984 clastb x4, p2, x4, z12.d 05B1BBAA clastb w10, p6, w10, z29.s 05B1A123 clastb w3, p0, w3, z9.s 0531A0D7 clastb w23, p0, w23, z6.b // clastb_v_p_z_ 00000101xx101011100xxxxxxxxxxxxx 056B94F9 clastb h25, p5, h25, z7.h 05AB999A clastb s26, p6, s26, z12.s 05AB85FB clastb s27, p1, s27, z15.s 056B9C3D clastb h29, p7, h29, z1.h 05AB8A9A clastb s26, p2, s26, z20.s 05AB91D7 clastb s23, p4, s23, z14.s 056B8012 clastb h18, p0, h18, z0.h 056B8ACD clastb h13, p2, h13, z22.h 05EB88C1 clastb d1, p2, d1, z6.d 052B9F52 clastb b18, p7, b18, z26.b 05EB9590 clastb d16, p5, d16, z12.d 052B880C clastb b12, p2, b12, z0.b 05AB8E50 clastb s16, p3, s16, z18.s 05EB8016 clastb d22, p0, d22, z0.d 056B8917 clastb h23, p2, h23, z8.h 052B8B9C clastb b28, p2, b28, z28.b // clastb_z_p_zz_ 00000101xx101001100xxxxxxxxxxxxx 05E99F0A clastb z10.d, p7, z10.d, z24.d 05298914 clastb z20.b, p2, z20.b, z8.b 05E99E9C clastb z28.d, p7, z28.d, z20.d 05A99066 clastb z6.s, p4, z6.s, z3.s 05299C4D clastb z13.b, p7, z13.b, z2.b 05699FD4 clastb z20.h, p7, z20.h, z30.h 05299590 clastb z16.b, p5, z16.b, z12.b 05A99E36 clastb z22.s, p7, z22.s, z17.s 05698402 clastb z2.h, p1, z2.h, z0.h 05298B02 clastb z2.b, p2, z2.b, z24.b 05A98438 clastb z24.s, p1, z24.s, z1.s 05299BC7 clastb z7.b, p6, z7.b, z30.b 05298359 clastb z25.b, p0, z25.b, z26.b 05A98BD2 clastb z18.s, p2, z18.s, z30.s 05299CF3 clastb z19.b, p7, z19.b, z7.b 05E9862F clastb z15.d, p1, z15.d, z17.d // cls_z_p_z_ 00000100xx011000101xxxxxxxxxxxxx 0458B018 cls z24.h, p4/m, z0.h 0498B032 cls z18.s, p4/m, z1.s 0498BC09 cls z9.s, p7/m, z0.s 0498A495 cls z21.s, p1/m, z4.s 0498AA70 cls z16.s, p2/m, z19.s 0498BA3E cls z30.s, p6/m, z17.s 0458AC7B cls z27.h, p3/m, z3.h 0418AAF8 cls z24.b, p2/m, z23.b 0418B603 cls z3.b, p5/m, z16.b 04D8B94B cls z11.d, p6/m, z10.d 0498B4C2 cls z2.s, p5/m, z6.s 0498A43E cls z30.s, p1/m, z1.s 04D8BAF0 cls z16.d, p6/m, z23.d 0498A4F4 cls z20.s, p1/m, z7.s 0498B7D9 cls z25.s, p5/m, z30.s 0498A2E4 cls z4.s, p0/m, z23.s // clz_z_p_z_ 00000100xx011001101xxxxxxxxxxxxx 04D9B65C clz z28.d, p5/m, z18.d 0499BAD8 clz z24.s, p6/m, z22.s 0459AF45 clz z5.h, p3/m, z26.h 04D9B4EF clz z15.d, p5/m, z7.d 0459BEC5 clz z5.h, p7/m, z22.h 0499A308 clz z8.s, p0/m, z24.s 0499B53D clz z29.s, p5/m, z9.s 04D9A735 clz z21.d, p1/m, z25.d 04D9A1A3 clz z3.d, p0/m, z13.d 0499A922 clz z2.s, p2/m, z9.s 0459B101 clz z1.h, p4/m, z8.h 0459B1D9 clz z25.h, p4/m, z14.h 0459B24F clz z15.h, p4/m, z18.h 0459BEAB clz z11.h, p7/m, z21.h 0499B16C clz z12.s, p4/m, z11.s 0459A08C clz z12.h, p0/m, z4.h // cmpeq_p_p_zi_ 00100101xx0xxxxx100xxxxxxxx0xxxx 251E8E29 cmpeq p9.b, p3/z, z17.b, #-2 25569C21 cmpeq p1.h, p7/z, z1.h, #-10 2598884E cmpeq p14.s, p2/z, z2.s, #-8 254589CB cmpeq p11.h, p2/z, z14.h, #0x5 258E8FCE cmpeq p14.s, p3/z, z30.s, #0xe 25C186C6 cmpeq p6.d, p1/z, z22.d, #0x1 259197CF cmpeq p15.s, p5/z, z30.s, #-15 251A8C8B cmpeq p11.b, p3/z, z4.b, #-6 25879B49 cmpeq p9.s, p6/z, z26.s, #0x7 25CF81AA cmpeq p10.d, p0/z, z13.d, #0xf 251399A0 cmpeq p0.b, p6/z, z13.b, #-13 25D083EA cmpeq p10.d, p0/z, z31.d, #-16 250A81E0 cmpeq p0.b, p0/z, z15.b, #0xa 25D18145 cmpeq p5.d, p0/z, z10.d, #-15 258C962E cmpeq p14.s, p5/z, z17.s, #0xc 259F9185 cmpeq p5.s, p4/z, z12.s, #-1 // cmpeq_p_p_zw_ 00100100xx0xxxxx001xxxxxxxx0xxxx 24152B2E cmpeq p14.b, p2/z, z25.b, z21.d 24992443 cmpeq p3.s, p1/z, z2.s, z25.d 244239CA cmpeq p10.h, p6/z, z14.h, z2.d 249B3E0C cmpeq p12.s, p7/z, z16.s, z27.d 24593F87 cmpeq p7.h, p7/z, z28.h, z25.d 24412E2C cmpeq p12.h, p3/z, z17.h, z1.d 24562246 cmpeq p6.h, p0/z, z18.h, z22.d 24963FEC cmpeq p12.s, p7/z, z31.s, z22.d 24103A63 cmpeq p3.b, p6/z, z19.b, z16.d 245438A2 cmpeq p2.h, p6/z, z5.h, z20.d 24022C49 cmpeq p9.b, p3/z, z2.b, z2.d 24422C27 cmpeq p7.h, p3/z, z1.h, z2.d 244C21CA cmpeq p10.h, p0/z, z14.h, z12.d 24433E20 cmpeq p0.h, p7/z, z17.h, z3.d 24162ECC cmpeq p12.b, p3/z, z22.b, z22.d 249E2647 cmpeq p7.s, p1/z, z18.s, z30.d // cmpeq_p_p_zz_ 00100100xx0xxxxx101xxxxxxxx0xxxx 2402B9C9 cmpeq p9.b, p6/z, z14.b, z2.b 24C9A0C6 cmpeq p6.d, p0/z, z6.d, z9.d 24DCAF6E cmpeq p14.d, p3/z, z27.d, z28.d 2480BBE6 cmpeq p6.s, p6/z, z31.s, z0.s 2455B762 cmpeq p2.h, p5/z, z27.h, z21.h 2401A56D cmpeq p13.b, p1/z, z11.b, z1.b 2485AD82 cmpeq p2.s, p3/z, z12.s, z5.s 24D3BE27 cmpeq p7.d, p7/z, z17.d, z19.d 24DFBF6A cmpeq p10.d, p7/z, z27.d, z31.d 249BB507 cmpeq p7.s, p5/z, z8.s, z27.s 24DFAF02 cmpeq p2.d, p3/z, z24.d, z31.d 240FBDCE cmpeq p14.b, p7/z, z14.b, z15.b 2454B3E6 cmpeq p6.h, p4/z, z31.h, z20.h 2458A6EB cmpeq p11.h, p1/z, z23.h, z24.h 2418B74B cmpeq p11.b, p5/z, z26.b, z24.b 24DAB625 cmpeq p5.d, p5/z, z17.d, z26.d // cmpge_p_p_zi_ 00100101xx0xxxxx000xxxxxxxx0xxxx 25DB0C4C cmpge p12.d, p3/z, z2.d, #-5 258614E4 cmpge p4.s, p5/z, z7.s, #0x6 25D91208 cmpge p8.d, p4/z, z16.d, #-7 25551D69 cmpge p9.h, p7/z, z11.h, #-11 2556066C cmpge p12.h, p1/z, z19.h, #-10 259B1C27 cmpge p7.s, p7/z, z1.s, #-5 25DC1360 cmpge p0.d, p4/z, z27.d, #-4 2502040B cmpge p11.b, p1/z, z0.b, #0x2 25D5134E cmpge p14.d, p4/z, z26.d, #-11 25D31EE5 cmpge p5.d, p7/z, z23.d, #-13 25C31B69 cmpge p9.d, p6/z, z27.d, #0x3 258818A0 cmpge p0.s, p6/z, z5.s, #0x8 2511072F cmpge p15.b, p1/z, z25.b, #-15 250C03E9 cmpge p9.b, p0/z, z31.b, #0xc 25DB11A9 cmpge p9.d, p4/z, z13.d, #-5 258C07A6 cmpge p6.s, p1/z, z29.s, #0xc // cmpge_p_p_zw_ 00100100xx0xxxxx010xxxxxxxx0xxxx 249F5BAE cmpge p14.s, p6/z, z29.s, z31.d 2457456A cmpge p10.h, p1/z, z11.h, z23.d 248C48E7 cmpge p7.s, p2/z, z7.s, z12.d 24545964 cmpge p4.h, p6/z, z11.h, z20.d 24435960 cmpge p0.h, p6/z, z11.h, z3.d 240D5E0F cmpge p15.b, p7/z, z16.b, z13.d 2441498B cmpge p11.h, p2/z, z12.h, z1.d 24925382 cmpge p2.s, p4/z, z28.s, z18.d 249A4605 cmpge p5.s, p1/z, z16.s, z26.d 24974026 cmpge p6.s, p0/z, z1.s, z23.d 249C5C8D cmpge p13.s, p7/z, z4.s, z28.d 245D482A cmpge p10.h, p2/z, z1.h, z29.d 24905686 cmpge p6.s, p5/z, z20.s, z16.d 248543AB cmpge p11.s, p0/z, z29.s, z5.d 244D4A4F cmpge p15.h, p2/z, z18.h, z13.d 24565546 cmpge p6.h, p5/z, z10.h, z22.d // cmpge_p_p_zz_ 00100100xx0xxxxx100xxxxxxxx0xxxx 241E9084 cmpge p4.b, p4/z, z4.b, z30.b 241A9E86 cmpge p6.b, p7/z, z20.b, z26.b 24D9950A cmpge p10.d, p5/z, z8.d, z25.d 24D3946B cmpge p11.d, p5/z, z3.d, z19.d 249B854B cmpge p11.s, p1/z, z10.s, z27.s 2448818F cmpge p15.h, p0/z, z12.h, z8.h 24CA8ECF cmpge p15.d, p3/z, z22.d, z10.d 244A914F cmpge p15.h, p4/z, z10.h, z10.h 24898847 cmpge p7.s, p2/z, z2.s, z9.s 248F9D49 cmpge p9.s, p7/z, z10.s, z15.s 248B8381 cmpge p1.s, p0/z, z28.s, z11.s 24D88A84 cmpge p4.d, p2/z, z20.d, z24.d 24149EC4 cmpge p4.b, p7/z, z22.b, z20.b 240A930C cmpge p12.b, p4/z, z24.b, z10.b 24178E4C cmpge p12.b, p3/z, z18.b, z23.b 2497824C cmpge p12.s, p0/z, z18.s, z23.s // cmpgt_p_p_zi_ 00100101xx0xxxxx000xxxxxxxx1xxxx 25160FF0 cmpgt p0.b, p3/z, z31.b, #-10 25091135 cmpgt p5.b, p4/z, z9.b, #0x9 25171D1A cmpgt p10.b, p7/z, z8.b, #-9 258E0796 cmpgt p6.s, p1/z, z28.s, #0xe 25500A9A cmpgt p10.h, p2/z, z20.h, #-16 258B0D58 cmpgt p8.s, p3/z, z10.s, #0xb 259519F2 cmpgt p2.s, p6/z, z15.s, #-11 25CC049B cmpgt p11.d, p1/z, z4.d, #0xc 258313B5 cmpgt p5.s, p4/z, z29.s, #0x3 259B057D cmpgt p13.s, p1/z, z11.s, #-5 25021BDF cmpgt p15.b, p6/z, z30.b, #0x2 258E193C cmpgt p12.s, p6/z, z9.s, #0xe 25070AD2 cmpgt p2.b, p2/z, z22.b, #0x7 25D70337 cmpgt p7.d, p0/z, z25.d, #-9 259217D3 cmpgt p3.s, p5/z, z30.s, #-14 25C70453 cmpgt p3.d, p1/z, z2.d, #0x7 // cmpgt_p_p_zw_ 00100100xx0xxxxx010xxxxxxxx1xxxx 240F5953 cmpgt p3.b, p6/z, z10.b, z15.d 24065818 cmpgt p8.b, p6/z, z0.b, z6.d 245D597B cmpgt p11.h, p6/z, z11.h, z29.d 245F5430 cmpgt p0.h, p5/z, z1.h, z31.d 24524C3E cmpgt p14.h, p3/z, z1.h, z18.d 245F58BA cmpgt p10.h, p6/z, z5.h, z31.d 244751F8 cmpgt p8.h, p4/z, z15.h, z7.d 240E42B0 cmpgt p0.b, p0/z, z21.b, z14.d 241D4EF2 cmpgt p2.b, p3/z, z23.b, z29.d 241C5712 cmpgt p2.b, p5/z, z24.b, z28.d 2455561E cmpgt p14.h, p5/z, z16.h, z21.d 248D5756 cmpgt p6.s, p5/z, z26.s, z13.d 2406577E cmpgt p14.b, p5/z, z27.b, z6.d 249A453A cmpgt p10.s, p1/z, z9.s, z26.d 240148F5 cmpgt p5.b, p2/z, z7.b, z1.d 244C485E cmpgt p14.h, p2/z, z2.h, z12.d // cmpgt_p_p_zz_ 00100100xx0xxxxx100xxxxxxxx1xxxx 2419807A cmpgt p10.b, p0/z, z3.b, z25.b 241F8F9C cmpgt p12.b, p3/z, z28.b, z31.b 24578B7D cmpgt p13.h, p2/z, z27.h, z23.h 24069577 cmpgt p7.b, p5/z, z11.b, z6.b 24CD921F cmpgt p15.d, p4/z, z16.d, z13.d 240C9373 cmpgt p3.b, p4/z, z27.b, z12.b 249A9B3E cmpgt p14.s, p6/z, z25.s, z26.s 24969953 cmpgt p3.s, p6/z, z10.s, z22.s 2488923F cmpgt p15.s, p4/z, z17.s, z8.s 24589116 cmpgt p6.h, p4/z, z8.h, z24.h 245F84BD cmpgt p13.h, p1/z, z5.h, z31.h 24D19050 cmpgt p0.d, p4/z, z2.d, z17.d 249487D7 cmpgt p7.s, p1/z, z30.s, z20.s 24938FD9 cmpgt p9.s, p3/z, z30.s, z19.s 240C9A10 cmpgt p0.b, p6/z, z16.b, z12.b 245381DD cmpgt p13.h, p0/z, z14.h, z19.h // cmphi_p_p_zi_ 00100100xx1xxxxxxx0xxxxxxxx1xxxx 242E1373 cmphi p3.b, p4/z, z27.b, #0x38 2474011D cmphi p13.h, p0/z, z8.h, #0x50 24FADB3F cmphi p15.d, p6/z, z25.d, #0x6b 243E08F0 cmphi p0.b, p2/z, z7.b, #0x78 2429CDF7 cmphi p7.b, p3/z, z15.b, #0x27 24355874 cmphi p4.b, p6/z, z3.b, #0x55 247C4F70 cmphi p0.h, p3/z, z27.h, #0x71 243159DF cmphi p15.b, p6/z, z14.b, #0x45 24BCCB7C cmphi p12.s, p2/z, z27.s, #0x73 2439DA5A cmphi p10.b, p6/z, z18.b, #0x67 24FD5C50 cmphi p0.d, p7/z, z2.d, #0x75 24771FF9 cmphi p9.h, p7/z, z31.h, #0x5c 24765A5F cmphi p15.h, p6/z, z18.h, #0x59 24A10671 cmphi p1.s, p1/z, z19.s, #0x4 24AEC71B cmphi p11.s, p1/z, z24.s, #0x3b 243F1353 cmphi p3.b, p4/z, z26.b, #0x7c // cmphi_p_p_zw_ 00100100xx0xxxxx110xxxxxxxx1xxxx 2409D71D cmphi p13.b, p5/z, z24.b, z9.d 2416D772 cmphi p2.b, p5/z, z27.b, z22.d 2452C23F cmphi p15.h, p0/z, z17.h, z18.d 240FCA10 cmphi p0.b, p2/z, z16.b, z15.d 2448CFBB cmphi p11.h, p3/z, z29.h, z8.d 2443D17C cmphi p12.h, p4/z, z11.h, z3.d 2414D8FC cmphi p12.b, p6/z, z7.b, z20.d 249BD5BF cmphi p15.s, p5/z, z13.s, z27.d 241DCED3 cmphi p3.b, p3/z, z22.b, z29.d 248AC1F2 cmphi p2.s, p0/z, z15.s, z10.d 2408C95B cmphi p11.b, p2/z, z10.b, z8.d 2409CEB0 cmphi p0.b, p3/z, z21.b, z9.d 241DC794 cmphi p4.b, p1/z, z28.b, z29.d 245BCF3D cmphi p13.h, p3/z, z25.h, z27.d 2409D193 cmphi p3.b, p4/z, z12.b, z9.d 2458D059 cmphi p9.h, p4/z, z2.h, z24.d // cmphi_p_p_zz_ 00100100xx0xxxxx0xxxxxxxxxxxxxxx 240715FA cmphi p10.b, p5/z, z15.b, z7.b 24531496 cmphi p6.h, p5/z, z4.h, z19.h 245A0030 cmphi p0.h, p0/z, z1.h, z26.h 24C51A73 cmphi p3.d, p6/z, z19.d, z5.d 249707DE cmphi p14.s, p1/z, z30.s, z23.s 249E1257 cmphi p7.s, p4/z, z18.s, z30.s 245A18DB cmphi p11.h, p6/z, z6.h, z26.h 244315B7 cmphi p7.h, p5/z, z13.h, z3.h 24C5031B cmphi p11.d, p0/z, z24.d, z5.d 24C0185C cmphi p12.d, p6/z, z2.d, z0.d 24950115 cmphi p5.s, p0/z, z8.s, z21.s 24C30954 cmphi p4.d, p2/z, z10.d, z3.d 24130AF4 cmphi p4.b, p2/z, z23.b, z19.b 24460139 cmphi p9.h, p0/z, z9.h, z6.h 24DF0251 cmphi p1.d, p0/z, z18.d, z31.d 24C70874 cmphi p4.d, p2/z, z3.d, z7.d // cmphs_p_p_zi_ 00100100xx1xxxxxxx0xxxxxxxx0xxxx 24BB454A cmphs p10.s, p1/z, z10.s, #0x6d 24380683 cmphs p3.b, p1/z, z20.b, #0x60 242E1BAF cmphs p15.b, p6/z, z29.b, #0x38 243C4302 cmphs p2.b, p0/z, z24.b, #0x71 24EF9E2B cmphs p11.d, p7/z, z17.d, #0x3e 24EB88E7 cmphs p7.d, p2/z, z7.d, #0x2e 24224586 cmphs p6.b, p1/z, z12.b, #0x9 242691E7 cmphs p7.b, p4/z, z15.b, #0x1a 2474CFCE cmphs p14.h, p3/z, z30.h, #0x53 247000AE cmphs p14.h, p0/z, z5.h, #0x40 24F1946B cmphs p11.d, p5/z, z3.d, #0x46 24A15EC1 cmphs p1.s, p7/z, z22.s, #0x5 24E180E8 cmphs p8.d, p0/z, z7.d, #0x6 246F11E9 cmphs p9.h, p4/z, z15.h, #0x3c 24FB91C0 cmphs p0.d, p4/z, z14.d, #0x6e 246A9C69 cmphs p9.h, p7/z, z3.h, #0x2a // cmphs_p_p_zw_ 00100100xx0xxxxx110xxxxxxxx0xxxx 241FCCC6 cmphs p6.b, p3/z, z6.b, z31.d 2486CA87 cmphs p7.s, p2/z, z20.s, z6.d 2403D928 cmphs p8.b, p6/z, z9.b, z3.d 2450C865 cmphs p5.h, p2/z, z3.h, z16.d 2418DCCB cmphs p11.b, p7/z, z6.b, z24.d 2482D4C3 cmphs p3.s, p5/z, z6.s, z2.d 240BC108 cmphs p8.b, p0/z, z8.b, z11.d 2409C641 cmphs p1.b, p1/z, z18.b, z9.d 2448DD29 cmphs p9.h, p7/z, z9.h, z8.d 241DCD61 cmphs p1.b, p3/z, z11.b, z29.d 2446DBEA cmphs p10.h, p6/z, z31.h, z6.d 248AC4A5 cmphs p5.s, p1/z, z5.s, z10.d 2411DAA1 cmphs p1.b, p6/z, z21.b, z17.d 2418C0C4 cmphs p4.b, p0/z, z6.b, z24.d 2456D90B cmphs p11.h, p6/z, z8.h, z22.d 2417D72D cmphs p13.b, p5/z, z25.b, z23.d // cmphs_p_p_zz_ 00100100xx0xxxxx000xxxxxxxx0xxxx 24CF1E2A cmphs p10.d, p7/z, z17.d, z15.d 245813C5 cmphs p5.h, p4/z, z30.h, z24.h 244E0804 cmphs p4.h, p2/z, z0.h, z14.h 24C805E9 cmphs p9.d, p1/z, z15.d, z8.d 249016EA cmphs p10.s, p5/z, z23.s, z16.s 24DC086B cmphs p11.d, p2/z, z3.d, z28.d 241A0164 cmphs p4.b, p0/z, z11.b, z26.b 24481107 cmphs p7.h, p4/z, z8.h, z8.h 24451A6E cmphs p14.h, p6/z, z19.h, z5.h 24900864 cmphs p4.s, p2/z, z3.s, z16.s 24CD19A4 cmphs p4.d, p6/z, z13.d, z13.d 24050F8E cmphs p14.b, p3/z, z28.b, z5.b 24DA140F cmphs p15.d, p5/z, z0.d, z26.d 24520363 cmphs p3.h, p0/z, z27.h, z18.h 24CE1B6D cmphs p13.d, p6/z, z27.d, z14.d 24431E69 cmphs p9.h, p7/z, z19.h, z3.h // cmple_p_p_zi_ 00100101xx0xxxxx001xxxxxxxx1xxxx 25D525F1 cmple p1.d, p1/z, z15.d, #-11 25543219 cmple p9.h, p4/z, z16.h, #-12 250C2ABF cmple p15.b, p2/z, z21.b, #0xc 258E3A5C cmple p12.s, p6/z, z18.s, #0xe 251628D8 cmple p8.b, p2/z, z6.b, #-10 25123414 cmple p4.b, p5/z, z0.b, #-14 251F3E38 cmple p8.b, p7/z, z17.b, #-1 258534DE cmple p14.s, p5/z, z6.s, #0x5 25D7251F cmple p15.d, p1/z, z8.d, #-9 25912597 cmple p7.s, p1/z, z12.s, #-15 2557327B cmple p11.h, p4/z, z19.h, #-9 25CF3AB2 cmple p2.d, p6/z, z21.d, #0xf 254A3B18 cmple p8.h, p6/z, z24.h, #0xa 250B2A74 cmple p4.b, p2/z, z19.b, #0xb 25D52F7C cmple p12.d, p3/z, z27.d, #-11 25933F71 cmple p1.s, p7/z, z27.s, #-13 // cmple_p_p_zw_ 00100100xx0xxxxx011xxxxxxxx1xxxx 245D6F3D cmple p13.h, p3/z, z25.h, z29.d 24447B31 cmple p1.h, p6/z, z25.h, z4.d 24566F9E cmple p14.h, p3/z, z28.h, z22.d 2456671E cmple p14.h, p1/z, z24.h, z22.d 24007553 cmple p3.b, p5/z, z10.b, z0.d 2452745C cmple p12.h, p5/z, z2.h, z18.d 24017232 cmple p2.b, p4/z, z17.b, z1.d 245E7D5E cmple p14.h, p7/z, z10.h, z30.d 24856257 cmple p7.s, p0/z, z18.s, z5.d 245D7BFD cmple p13.h, p6/z, z31.h, z29.d 240D6BB1 cmple p1.b, p2/z, z29.b, z13.d 24477792 cmple p2.h, p5/z, z28.h, z7.d 2448661F cmple p15.h, p1/z, z16.h, z8.d 24907D15 cmple p5.s, p7/z, z8.s, z16.d 24826474 cmple p4.s, p1/z, z3.s, z2.d 2401723D cmple p13.b, p4/z, z17.b, z1.d // cmplo_p_p_zi_ 00100100xx1xxxxxxx1xxxxxxxx0xxxx 2436B8A7 cmplo p7.b, p6/z, z5.b, #0x5a 24BFAC0B cmplo p11.s, p3/z, z0.s, #0x7e 24A7A5ED cmplo p13.s, p1/z, z15.s, #0x1e 246B28A3 cmplo p3.h, p2/z, z5.h, #0x2c 24F7B2C5 cmplo p5.d, p4/z, z22.d, #0x5e 24AE3F62 cmplo p2.s, p7/z, z27.s, #0x38 243CBC8B cmplo p11.b, p7/z, z4.b, #0x72 24FD7E80 cmplo p0.d, p7/z, z20.d, #0x75 2424EE0C cmplo p12.b, p3/z, z16.b, #0x13 247FAB82 cmplo p2.h, p2/z, z28.h, #0x7e 2461FBC9 cmplo p9.h, p6/z, z30.h, #0x7 2471E2C2 cmplo p2.h, p0/z, z22.h, #0x47 2427F88C cmplo p12.b, p6/z, z4.b, #0x1f 2479AA86 cmplo p6.h, p2/z, z20.h, #0x66 24E33A84 cmplo p4.d, p6/z, z20.d, #0xc 2423EB28 cmplo p8.b, p2/z, z25.b, #0xf // cmplo_p_p_zw_ 00100100xx0xxxxx111xxxxxxxx0xxxx 249CE0CE cmplo p14.s, p0/z, z6.s, z28.d 2419E144 cmplo p4.b, p0/z, z10.b, z25.d 2449E9AC cmplo p12.h, p2/z, z13.h, z9.d 2410E8C1 cmplo p1.b, p2/z, z6.b, z16.d 2456E4EB cmplo p11.h, p1/z, z7.h, z22.d 2441E329 cmplo p9.h, p0/z, z25.h, z1.d 2413F9AF cmplo p15.b, p6/z, z13.b, z19.d 2489FF0E cmplo p14.s, p7/z, z24.s, z9.d 249FF74B cmplo p11.s, p5/z, z26.s, z31.d 2406FBEC cmplo p12.b, p6/z, z31.b, z6.d 2458EDE6 cmplo p6.h, p3/z, z15.h, z24.d 2413ED0F cmplo p15.b, p3/z, z8.b, z19.d 248CF426 cmplo p6.s, p5/z, z1.s, z12.d 2441ED65 cmplo p5.h, p3/z, z11.h, z1.d 2446E76E cmplo p14.h, p1/z, z27.h, z6.d 2498F68C cmplo p12.s, p5/z, z20.s, z24.d // cmpls_p_p_zi_ 00100100xx1xxxxxxx1xxxxxxxx1xxxx 2424A5DC cmpls p12.b, p1/z, z14.b, #0x12 24E2FAB5 cmpls p5.d, p6/z, z21.d, #0xb 24653552 cmpls p2.h, p5/z, z10.h, #0x14 242767BF cmpls p15.b, p1/z, z29.b, #0x1d 24E4A93D cmpls p13.d, p2/z, z9.d, #0x12 24286076 cmpls p6.b, p0/z, z3.b, #0x21 243F6B58 cmpls p8.b, p2/z, z26.b, #0x7d 2436F3D0 cmpls p0.b, p4/z, z30.b, #0x5b 2467E9D9 cmpls p9.h, p2/z, z14.h, #0x1f 24AF7E35 cmpls p5.s, p7/z, z17.s, #0x3d 242EB4DA cmpls p10.b, p5/z, z6.b, #0x3a 246EB6DC cmpls p12.h, p5/z, z22.h, #0x3a 24793F74 cmpls p4.h, p7/z, z27.h, #0x64 2468EED9 cmpls p9.h, p3/z, z22.h, #0x23 24F27693 cmpls p3.d, p5/z, z20.d, #0x49 24E4BB33 cmpls p3.d, p6/z, z25.d, #0x12 // cmpls_p_p_zw_ 00100100xx0xxxxx111xxxxxxxx1xxxx 2417EB17 cmpls p7.b, p2/z, z24.b, z23.d 2451F8B8 cmpls p8.h, p6/z, z5.h, z17.d 2441F0BA cmpls p10.h, p4/z, z5.h, z1.d 241DE67F cmpls p15.b, p1/z, z19.b, z29.d 248EF771 cmpls p1.s, p5/z, z27.s, z14.d 2497E25B cmpls p11.s, p0/z, z18.s, z23.d 2415F91E cmpls p14.b, p6/z, z8.b, z21.d 2458EDB7 cmpls p7.h, p3/z, z13.h, z24.d 2455E531 cmpls p1.h, p1/z, z9.h, z21.d 2406FE74 cmpls p4.b, p7/z, z19.b, z6.d 248DEC73 cmpls p3.s, p3/z, z3.s, z13.d 2498FB77 cmpls p7.s, p6/z, z27.s, z24.d 2443EFBE cmpls p14.h, p3/z, z29.h, z3.d 2494F53E cmpls p14.s, p5/z, z9.s, z20.d 241BFC75 cmpls p5.b, p7/z, z3.b, z27.d 2459FF13 cmpls p3.h, p7/z, z24.h, z25.d // cmplt_p_p_zi_ 00100101xx0xxxxx001xxxxxxxx0xxxx 25143483 cmplt p3.b, p5/z, z4.b, #-12 25DA2063 cmplt p3.d, p0/z, z3.d, #-6 254C3F23 cmplt p3.h, p7/z, z25.h, #0xc 258138C3 cmplt p3.s, p6/z, z6.s, #0x1 255B28A7 cmplt p7.h, p2/z, z5.h, #-5 251A2C4E cmplt p14.b, p3/z, z2.b, #-6 25432EE6 cmplt p6.h, p3/z, z23.h, #0x3 25103E63 cmplt p3.b, p7/z, z19.b, #-16 25C82EC8 cmplt p8.d, p3/z, z22.d, #0x8 255431AE cmplt p14.h, p4/z, z13.h, #-12 255B3A29 cmplt p9.h, p6/z, z17.h, #-5 25CA206C cmplt p12.d, p0/z, z3.d, #0xa 255F36AD cmplt p13.h, p5/z, z21.h, #-1 250D38E6 cmplt p6.b, p6/z, z7.b, #0xd 255831E4 cmplt p4.h, p4/z, z15.h, #-8 258E204D cmplt p13.s, p0/z, z2.s, #0xe // cmplt_p_p_zw_ 00100100xx0xxxxx011xxxxxxxx0xxxx 24127283 cmplt p3.b, p4/z, z20.b, z18.d 249C71CA cmplt p10.s, p4/z, z14.s, z28.d 24166CEB cmplt p11.b, p3/z, z7.b, z22.d 241C6B02 cmplt p2.b, p2/z, z24.b, z28.d 24556AA9 cmplt p9.h, p2/z, z21.h, z21.d 24986329 cmplt p9.s, p0/z, z25.s, z24.d 24096A4C cmplt p12.b, p2/z, z18.b, z9.d 244C77A3 cmplt p3.h, p5/z, z29.h, z12.d 244873CF cmplt p15.h, p4/z, z30.h, z8.d 241775AB cmplt p11.b, p5/z, z13.b, z23.d 241C69C0 cmplt p0.b, p2/z, z14.b, z28.d 249B7784 cmplt p4.s, p5/z, z28.s, z27.d 244667A2 cmplt p2.h, p1/z, z29.h, z6.d 24426664 cmplt p4.h, p1/z, z19.h, z2.d 248E666F cmplt p15.s, p1/z, z19.s, z14.d 24086762 cmplt p2.b, p1/z, z27.b, z8.d // cmpne_p_p_zi_ 00100101xx0xxxxx100xxxxxxxx1xxxx 25C29798 cmpne p8.d, p5/z, z28.d, #0x2 258E86B4 cmpne p4.s, p1/z, z21.s, #0xe 25CC9CFA cmpne p10.d, p7/z, z7.d, #0xc 25979030 cmpne p0.s, p4/z, z1.s, #-9 251B9AF8 cmpne p8.b, p6/z, z23.b, #-5 25488138 cmpne p8.h, p0/z, z9.h, #0x8 25988CDB cmpne p11.s, p3/z, z6.s, #-8 255D9A1F cmpne p15.h, p6/z, z16.h, #-3 250C9F5A cmpne p10.b, p7/z, z26.b, #0xc 25D08938 cmpne p8.d, p2/z, z9.d, #-16 2512843B cmpne p11.b, p1/z, z1.b, #-14 251E8C30 cmpne p0.b, p3/z, z1.b, #-2 2583867E cmpne p14.s, p1/z, z19.s, #0x3 25D59ED9 cmpne p9.d, p7/z, z22.d, #-11 258C85B8 cmpne p8.s, p1/z, z13.s, #0xc 255392D0 cmpne p0.h, p4/z, z22.h, #-13 // cmpne_p_p_zw_ 00100100xx0xxxxx001xxxxxxxx1xxxx 240D3DBC cmpne p12.b, p7/z, z13.b, z13.d 24903EBB cmpne p11.s, p7/z, z21.s, z16.d 24983172 cmpne p2.s, p4/z, z11.s, z24.d 2486233C cmpne p12.s, p0/z, z25.s, z6.d 248D3891 cmpne p1.s, p6/z, z4.s, z13.d 24553D70 cmpne p0.h, p7/z, z11.h, z21.d 241D3FF8 cmpne p8.b, p7/z, z31.b, z29.d 249F35D3 cmpne p3.s, p5/z, z14.s, z31.d 24103DFE cmpne p14.b, p7/z, z15.b, z16.d 241F2431 cmpne p1.b, p1/z, z1.b, z31.d 24412DD3 cmpne p3.h, p3/z, z14.h, z1.d 244E38B8 cmpne p8.h, p6/z, z5.h, z14.d 244B27B8 cmpne p8.h, p1/z, z29.h, z11.d 240F36F2 cmpne p2.b, p5/z, z23.b, z15.d 2412229F cmpne p15.b, p0/z, z20.b, z18.d 24832D53 cmpne p3.s, p3/z, z10.s, z3.d // cmpne_p_p_zz_ 00100100xx0xxxxx1xxxxxxxxxxxxxxx 2418AE1A cmpne p10.b, p3/z, z16.b, z24.b 24DCA5FD cmpne p13.d, p1/z, z15.d, z28.d 24DFBE97 cmpne p7.d, p7/z, z20.d, z31.d 245CA191 cmpne p1.h, p0/z, z12.h, z28.h 240BA138 cmpne p8.b, p0/z, z9.b, z11.b 245BA5DD cmpne p13.h, p1/z, z14.h, z27.h 248DA013 cmpne p3.s, p0/z, z0.s, z13.s 2483A971 cmpne p1.s, p2/z, z11.s, z3.s 2480B677 cmpne p7.s, p5/z, z19.s, z0.s 2445A9D5 cmpne p5.h, p2/z, z14.h, z5.h 244DA519 cmpne p9.h, p1/z, z8.h, z13.h 2452BE15 cmpne p5.h, p7/z, z16.h, z18.h 2496B133 cmpne p3.s, p4/z, z9.s, z22.s 240DA5B5 cmpne p5.b, p1/z, z13.b, z13.b 2453A0B5 cmpne p5.h, p0/z, z5.h, z19.h 2497AE93 cmpne p3.s, p3/z, z20.s, z23.s // cnot_z_p_z_ 00000100xx011011101xxxxxxxxxxxxx 041BB783 cnot z3.b, p5/m, z28.b 045BA69E cnot z30.h, p1/m, z20.h 045BB48D cnot z13.h, p5/m, z4.h 04DBB5F6 cnot z22.d, p5/m, z15.d 049BA9EA cnot z10.s, p2/m, z15.s 04DBBD7C cnot z28.d, p7/m, z11.d 04DBAE68 cnot z8.d, p3/m, z19.d 049BACA1 cnot z1.s, p3/m, z5.s 049BA516 cnot z22.s, p1/m, z8.s 04DBA551 cnot z17.d, p1/m, z10.d 041BB00F cnot z15.b, p4/m, z0.b 045BB6A1 cnot z1.h, p5/m, z21.h 045BAE54 cnot z20.h, p3/m, z18.h 04DBB74A cnot z10.d, p5/m, z26.d 04DBBEFF cnot z31.d, p7/m, z23.d 041BA781 cnot z1.b, p1/m, z28.b // cnt_z_p_z_ 00000100xx011010101xxxxxxxxxxxxx 049AB99E cnt z30.s, p6/m, z12.s 041AAC10 cnt z16.b, p3/m, z0.b 04DAA07B cnt z27.d, p0/m, z3.d 041AA1B6 cnt z22.b, p0/m, z13.b 04DAB1A8 cnt z8.d, p4/m, z13.d 04DAA753 cnt z19.d, p1/m, z26.d 045AA533 cnt z19.h, p1/m, z9.h 04DABF0E cnt z14.d, p7/m, z24.d 04DAB438 cnt z24.d, p5/m, z1.d 049AA548 cnt z8.s, p1/m, z10.s 049AAF13 cnt z19.s, p3/m, z24.s 041AA32D cnt z13.b, p0/m, z25.b 045AA1F5 cnt z21.h, p0/m, z15.h 045AB48C cnt z12.h, p5/m, z4.h 049AB65A cnt z26.s, p5/m, z18.s 04DAB128 cnt z8.d, p4/m, z9.d // cntb_r_s_ 000001000010xxxx111000xxxxxxxxxx 042DE1A5 cntb x5, vl256, mul #0xe 0426E3E2 cntb x2, all, mul #0x7 0423E01C cntb x28, pow2, mul #0x4 042EE1E3 cntb x3, #0xf, mul #0xf 0427E155 cntb x21, vl32, mul #0x8 0422E10D cntb x13, vl8, mul #0x3 042AE01B cntb x27, pow2, mul #0xb 042BE25B cntb x27, #0x12, mul #0xc 0420E363 cntb x3, #0x1b 0428E1E1 cntb x1, #0xf, mul #0x9 042EE1FE cntb x30, #0xf, mul #0xf 0423E19B cntb x27, vl128, mul #0x4 0421E218 cntb x24, #0x10, mul #0x2 0426E3A3 cntb x3, mul4, mul #0x7 0427E1C0 cntb x0, #0xe, mul #0x8 0429E0A0 cntb x0, vl5, mul #0xa // cntd_r_s_ 000001001110xxxx111000xxxxxxxxxx 04E8E18C cntd x12, vl128, mul #0x9 04EFE308 cntd x8, #0x18, mul #0x10 04EEE03C cntd x28, vl1, mul #0xf 04E6E22B cntd x11, #0x11, mul #0x7 04E4E159 cntd x25, vl32, mul #0x5 04E8E036 cntd x22, vl1, mul #0x9 04E9E2FC cntd x28, #0x17, mul #0xa 04EFE398 cntd x24, #0x1c, mul #0x10 04E8E08C cntd x12, vl4, mul #0x9 04E7E17C cntd x28, vl64, mul #0x8 04E0E1AF cntd x15, vl256 04E4E2C7 cntd x7, #0x16, mul #0x5 04E8E05A cntd x26, vl2, mul #0x9 04EEE19C cntd x28, vl128, mul #0xf 04E1E313 cntd x19, #0x18, mul #0x2 04E7E07F cntd xzr, vl3, mul #0x8 // cnth_r_s_ 000001000110xxxx111000xxxxxxxxxx 046AE12E cnth x14, vl16, mul #0xb 046EE0AD cnth x13, vl5, mul #0xf 0464E34E cnth x14, #0x1a, mul #0x5 046EE249 cnth x9, #0x12, mul #0xf 046BE302 cnth x2, #0x18, mul #0xc 0460E3B0 cnth x16, mul4 0464E0CF cnth x15, vl6, mul #0x5 0465E052 cnth x18, vl2, mul #0x6 0466E116 cnth x22, vl8, mul #0x7 046AE04D cnth x13, vl2, mul #0xb 046CE20C cnth x12, #0x10, mul #0xd 0460E319 cnth x25, #0x18 0464E290 cnth x16, #0x14, mul #0x5 046DE2C3 cnth x3, #0x16, mul #0xe 0467E260 cnth x0, #0x13, mul #0x8 046BE1A0 cnth x0, vl256, mul #0xc // cntp_r_p_p_ 00100101xx10000010xxxx0xxxxxxxxx 25A08C01 cntp x1, p3, p0.s 25E0A48A cntp x10, p9, p4.d 25E0987A cntp x26, p6, p3.d 25609545 cntp x5, p5, p10.h 2520BCD1 cntp x17, p15, p6.b 25E0818A cntp x10, p0, p12.d 25A0AC09 cntp x9, p11, p0.s 2520A566 cntp x6, p9, p11.b 25A091D8 cntp x24, p4, p14.s 25A0B1E6 cntp x6, p12, p15.s 25A0AC6B cntp x11, p11, p3.s 25E0B4D1 cntp x17, p13, p6.d 25E09D81 cntp x1, p7, p12.d 2560B5F9 cntp x25, p13, p15.h 25E08D24 cntp x4, p3, p9.d 256084C8 cntp x8, p1, p6.h // cntw_r_s_ 000001001010xxxx111000xxxxxxxxxx 04ABE043 cntw x3, vl2, mul #0xc 04AEE2B0 cntw x16, #0x15, mul #0xf 04A9E038 cntw x24, vl1, mul #0xa 04AFE264 cntw x4, #0x13, mul #0x10 04AAE20E cntw x14, #0x10, mul #0xb 04A9E2A0 cntw x0, #0x15, mul #0xa 04AFE147 cntw x7, vl32, mul #0x10 04A6E116 cntw x22, vl8, mul #0x7 04A5E2E6 cntw x6, #0x17, mul #0x6 04A5E3B5 cntw x21, mul4, mul #0x6 04AEE315 cntw x21, #0x18, mul #0xf 04A8E3AB cntw x11, mul4, mul #0x9 04A9E1FE cntw x30, #0xf, mul #0xa 04AEE030 cntw x16, vl1, mul #0xf 04A3E0B8 cntw x24, vl5, mul #0x4 04A4E129 cntw x9, vl16, mul #0x5 // compact_z_p_z_ 000001011x100001100xxxxxxxxxxxxx 05A182A5 compact z5.s, p0, z21.s 05A18C23 compact z3.s, p3, z1.s 05A18755 compact z21.s, p1, z26.s 05A1961C compact z28.s, p5, z16.s 05E19B26 compact z6.d, p6, z25.d 05A18C7F compact z31.s, p3, z3.s 05E18ACF compact z15.d, p2, z22.d 05E18FD3 compact z19.d, p3, z30.d 05A18470 compact z16.s, p1, z3.s 05A19562 compact z2.s, p5, z11.s 05E19CC9 compact z9.d, p7, z6.d 05E180F7 compact z23.d, p0, z7.d 05A19350 compact z16.s, p4, z26.s 05A19FB4 compact z20.s, p7, z29.s 05A18A11 compact z17.s, p2, z16.s 05E18F90 compact z16.d, p3, z28.d // ctermeq_rr_ 001001011x1xxxxx001000xxxxx00000 25E22300 ctermeq x24, x2 25E12080 ctermeq x4, x1 25FA20E0 ctermeq x7, x26 25B721A0 ctermeq w13, w23 25A42080 ctermeq w4, w4 25A420C0 ctermeq w6, w4 25E420C0 ctermeq x6, x4 25E32240 ctermeq x18, x3 25E52140 ctermeq x10, x5 25E42060 ctermeq x3, x4 25A02120 ctermeq w9, w0 25A22380 ctermeq w28, w2 25FD20E0 ctermeq x7, x29 25F023E0 ctermeq xzr, x16 25E92260 ctermeq x19, x9 25A52140 ctermeq w10, w5 // ctermne_rr_ 001001011x1xxxxx001000xxxxx10000 25E022D0 ctermne x22, x0 25A22130 ctermne w9, w2 25E522B0 ctermne x21, x5 25EA2390 ctermne x28, x10 25AE2330 ctermne w25, w14 25E72250 ctermne x18, x7 25E82210 ctermne x16, x8 25F72070 ctermne x3, x23 25F921B0 ctermne x13, x25 25EC2070 ctermne x3, x12 25B32190 ctermne w12, w19 25B723D0 ctermne w30, w23 25BA23D0 ctermne w30, w26 25A523B0 ctermne w29, w5 25EB2290 ctermne x20, x11 25E72270 ctermne x19, x7 // decb_r_rs_ 000001000011xxxx111001xxxxxxxxxx 0434E489 decb x9, vl4, mul #0x5 0430E63D decb x29, #0x11 0430E639 decb x25, #0x11 0437E761 decb x1, #0x1b, mul #0x8 043BE620 decb x0, #0x11, mul #0xc 0433E57D decb x29, vl64, mul #0x4 0439E644 decb x4, #0x12, mul #0xa 043DE522 decb x2, vl16, mul #0xe 043AE6A3 decb x3, #0x15, mul #0xb 0430E793 decb x19, #0x1c 043CE725 decb x5, #0x19, mul #0xd 0434E661 decb x1, #0x13, mul #0x5 043EE51F decb xzr, vl8, mul #0xf 0432E734 decb x20, #0x19, mul #0x3 043FE524 decb x4, vl16, mul #0x10 0430E5FA decb x26, #0xf // decd_r_rs_ 000001001111xxxx111001xxxxxxxxxx 04F2E539 decd x25, vl16, mul #0x3 04FBE521 decd x1, vl16, mul #0xc 04F2E7B6 decd x22, mul4, mul #0x3 04FEE72A decd x10, #0x19, mul #0xf 04F3E4B8 decd x24, vl5, mul #0x4 04F8E416 decd x22, pow2, mul #0x9 04F9E62A decd x10, #0x11, mul #0xa 04FDE655 decd x21, #0x12, mul #0xe 04FBE60E decd x14, #0x10, mul #0xc 04F7E46F decd x15, vl3, mul #0x8 04F5E6E0 decd x0, #0x17, mul #0x6 04F2E4DB decd x27, vl6, mul #0x3 04F1E70A decd x10, #0x18, mul #0x2 04F9E6E0 decd x0, #0x17, mul #0xa 04F7E6C4 decd x4, #0x16, mul #0x8 04F7E5B8 decd x24, vl256, mul #0x8 // decd_z_zs_ 000001001111xxxx110001xxxxxxxxxx 04FBC52A decd z10.d, vl16, mul #0xc 04F7C5C7 decd z7.d, #0xe, mul #0x8 04FBC732 decd z18.d, #0x19, mul #0xc 04FCC454 decd z20.d, vl2, mul #0xd 04F3C7F9 decd z25.d, all, mul #0x4 04F1C6B3 decd z19.d, #0x15, mul #0x2 04FDC402 decd z2.d, pow2, mul #0xe 04F5C709 decd z9.d, #0x18, mul #0x6 04FDC5E2 decd z2.d, #0xf, mul #0xe 04FDC75A decd z26.d, #0x1a, mul #0xe 04F8C48F decd z15.d, vl4, mul #0x9 04F8C468 decd z8.d, vl3, mul #0x9 04F9C491 decd z17.d, vl4, mul #0xa 04F3C764 decd z4.d, #0x1b, mul #0x4 04FBC5F3 decd z19.d, #0xf, mul #0xc 04F2C47D decd z29.d, vl3, mul #0x3 // dech_r_rs_ 000001000111xxxx111001xxxxxxxxxx 0472E49F dech xzr, vl4, mul #0x3 047EE70D dech x13, #0x18, mul #0xf 0474E703 dech x3, #0x18, mul #0x5 0470E554 dech x20, vl32 0471E604 dech x4, #0x10, mul #0x2 047FE72D dech x13, #0x19, mul #0x10 047DE52B dech x11, vl16, mul #0xe 0470E46B dech x11, vl3 0479E5F3 dech x19, #0xf, mul #0xa 0471E563 dech x3, vl64, mul #0x2 047BE79E dech x30, #0x1c, mul #0xc 0472E761 dech x1, #0x1b, mul #0x3 0471E5C0 dech x0, #0xe, mul #0x2 0470E4E3 dech x3, vl7 047AE701 dech x1, #0x18, mul #0xb 0472E64D dech x13, #0x12, mul #0x3 // dech_z_zs_ 000001000111xxxx110001xxxxxxxxxx 0474C747 dech z7.h, #0x1a, mul #0x5 0475C5B5 dech z21.h, vl256, mul #0x6 0479C731 dech z17.h, #0x19, mul #0xa 0472C407 dech z7.h, pow2, mul #0x3 0471C47E dech z30.h, vl3, mul #0x2 0473C6FF dech z31.h, #0x17, mul #0x4 0470C7C5 dech z5.h, mul3 0476C428 dech z8.h, vl1, mul #0x7 0472C696 dech z22.h, #0x14, mul #0x3 047AC7CC dech z12.h, mul3, mul #0xb 0474C6F4 dech z20.h, #0x17, mul #0x5 0479C54D dech z13.h, vl32, mul #0xa 0477C4C1 dech z1.h, vl6, mul #0x8 047FC648 dech z8.h, #0x12, mul #0x10 0471C571 dech z17.h, vl64, mul #0x2 0470C40D dech z13.h, pow2 // decp_r_p_r_ 00100101xx1011011000100xxxxxxxxx 252D8986 decp x6, p12.b 25ED883C decp x28, p1.d 25ED890B decp x11, p8.d 252D883A decp x26, p1.b 25ED8883 decp x3, p4.d 25ED8907 decp x7, p8.d 25ED885B decp x27, p2.d 25ED899C decp x28, p12.d 25AD8890 decp x16, p4.s 256D8858 decp x24, p2.h 25AD88BA decp x26, p5.s 252D8877 decp x23, p3.b 25AD883F decp xzr, p1.s 25AD8904 decp x4, p8.s 256D890F decp x15, p8.h 252D88D5 decp x21, p6.b // decp_z_p_z_ 00100101xx1011011000000xxxxxxxxx 25AD80C4 decp z4.s, p6 25ED8076 decp z22.d, p3 25AD80B0 decp z16.s, p5 256D8094 decp z20.h, p4 25AD81B4 decp z20.s, p13 25ED804A decp z10.d, p2 25AD80A3 decp z3.s, p5 256D8062 decp z2.h, p3 25AD804E decp z14.s, p2 256D80A5 decp z5.h, p5 25AD8154 decp z20.s, p10 25ED81FF decp z31.d, p15 25ED8191 decp z17.d, p12 25ED817F decp z31.d, p11 25ED8054 decp z20.d, p2 25AD81D8 decp z24.s, p14 // decw_r_rs_ 000001001011xxxx111001xxxxxxxxxx 04B4E623 decw x3, #0x11, mul #0x5 04BCE72D decw x13, #0x19, mul #0xd 04B0E443 decw x3, vl2 04B5E7F6 decw x22, all, mul #0x6 04B2E4B2 decw x18, vl5, mul #0x3 04B4E731 decw x17, #0x19, mul #0x5 04B1E529 decw x9, vl16, mul #0x2 04B9E608 decw x8, #0x10, mul #0xa 04B2E7ED decw x13, all, mul #0x3 04B2E455 decw x21, vl2, mul #0x3 04BAE7A7 decw x7, mul4, mul #0xb 04B7E729 decw x9, #0x19, mul #0x8 04B0E5DE decw x30, #0xe 04B0E40B decw x11, pow2 04B6E7CA decw x10, mul3, mul #0x7 04B3E4CC decw x12, vl6, mul #0x4 // decw_z_zs_ 000001001011xxxx110001xxxxxxxxxx 04BAC6BA decw z26.s, #0x15, mul #0xb 04B8C43E decw z30.s, vl1, mul #0x9 04B7C799 decw z25.s, #0x1c, mul #0x8 04B2C4AC decw z12.s, vl5, mul #0x3 04B5C492 decw z18.s, vl4, mul #0x6 04B1C776 decw z22.s, #0x1b, mul #0x2 04BEC71B decw z27.s, #0x18, mul #0xf 04B9C710 decw z16.s, #0x18, mul #0xa 04B3C528 decw z8.s, vl16, mul #0x4 04BDC445 decw z5.s, vl2, mul #0xe 04B7C745 decw z5.s, #0x1a, mul #0x8 04BFC5B5 decw z21.s, vl256, mul #0x10 04BEC6C2 decw z2.s, #0x16, mul #0xf 04BCC7B8 decw z24.s, mul4, mul #0xd 04B5C62D decw z13.s, #0x11, mul #0x6 04BDC79D decw z29.s, #0x1c, mul #0xe // eor_p_p_pp_z 001001010000xxxx01xxxx1xxxx0xxxx 2506566A eor p10.b, p5/z, p3.b, p6.b 2501430B eor p11.b, p0/z, p8.b, p1.b 250B5AAD eor p13.b, p6/z, p5.b, p11.b 250257A5 eor p5.b, p5/z, p13.b, p2.b 25067ACC eor p12.b, p14/z, p6.b, p6.b 25016743 eor p3.b, p9/z, p10.b, p1.b 250667C7 eor p7.b, p9/z, p14.b, p6.b 25016A40 eor p0.b, p10/z, p2.b, p1.b 250F4364 eor p4.b, p0/z, p11.b, p15.b 25036A6E eor p14.b, p10/z, p3.b, p3.b 25006A6F eor p15.b, p10/z, p3.b, p0.b 250B5767 eor p7.b, p5/z, p11.b, p11.b 250A72AF eor p15.b, p12/z, p5.b, p10.b 250B7F21 eor p1.b, p15/z, p9.b, p11.b 250E4385 eor p5.b, p0/z, p12.b, p14.b 250667ED eor p13.b, p9/z, p15.b, p6.b // eor_z_p_zz_ 00000100xx011001000xxxxxxxxxxxxx 049914D0 eor z16.s, p5/m, z16.s, z6.s 049907F8 eor z24.s, p1/m, z24.s, z31.s 04190834 eor z20.b, p2/m, z20.b, z1.b 04991E55 eor z21.s, p7/m, z21.s, z18.s 04D9059F eor z31.d, p1/m, z31.d, z12.d 04991B39 eor z25.s, p6/m, z25.s, z25.s 04991B5C eor z28.s, p6/m, z28.s, z26.s 04D90BDC eor z28.d, p2/m, z28.d, z30.d 041916BD eor z29.b, p5/m, z29.b, z21.b 04990AF0 eor z16.s, p2/m, z16.s, z23.s 04D91C9A eor z26.d, p7/m, z26.d, z4.d 04D91550 eor z16.d, p5/m, z16.d, z10.d 04591188 eor z8.h, p4/m, z8.h, z12.h 04991C11 eor z17.s, p7/m, z17.s, z0.s 04D90EA6 eor z6.d, p3/m, z6.d, z21.d 04D90DC5 eor z5.d, p3/m, z5.d, z14.d // eor_z_zi_ 00000101010000xxxxxxxxxxxxxxxxxx 0540CD26 eor z6.h, z6.h, #0xff81 0541BC8D eor z13.h, z13.h, #0x3e00 05426718 eor z24.d, z24.d, #0xfff01fffffffffff 0542FB43 eor z3.d, z3.d, #0xffffffe00000000 0540BF01 eor z1.b, z1.b, #0x22 0542D79B eor z27.d, z27.d, #0xffffffc7ffffffff 0543B9EF eor z15.d, z15.d, #0x1fffe00 05435EF2 eor z18.d, z18.d, #0xffffffffffe01fff 0543231D eor z29.d, z29.d, #0x1ffffff0000000 054165CD eor z13.h, z13.h, #0xfff7 0542949F eor z31.d, z31.d, #0xffffc0000007ffff 05420DA1 eor z1.d, z1.d, #0x80001fffffffffff 054293DC eor z28.d, z28.d, #0xffffc00000001fff 05417744 eor z4.b, z4.b, #0xdd 05420AFC eor z28.d, z28.d, #0x80000000007fffff 05430257 eor z23.d, z23.d, #0x7ffff00000000 // eor_z_zz_ 00000100101xxxxx001100xxxxxxxxxx 04B33111 eor z17.d, z8.d, z19.d 04B33323 eor z3.d, z25.d, z19.d 04A932B4 eor z20.d, z21.d, z9.d 04B2335F eor z31.d, z26.d, z18.d 04BE331D eor z29.d, z24.d, z30.d 04B433F9 eor z25.d, z31.d, z20.d 04AC312B eor z11.d, z9.d, z12.d 04A73151 eor z17.d, z10.d, z7.d 04A13314 eor z20.d, z24.d, z1.d 04BF3321 eor z1.d, z25.d, z31.d 04BC32AC eor z12.d, z21.d, z28.d 04A03257 eor z23.d, z18.d, z0.d 04A530FA eor z26.d, z7.d, z5.d 04B03158 eor z24.d, z10.d, z16.d 04AE322D eor z13.d, z17.d, z14.d 04A030B1 eor z17.d, z5.d, z0.d // eors_p_p_pp_z 001001010100xxxx01xxxx1xxxx0xxxx 254A6F2D eors p13.b, p11/z, p9.b, p10.b 254D524E eors p14.b, p4/z, p2.b, p13.b 254D67C0 eors p0.b, p9/z, p14.b, p13.b 25457328 eors p8.b, p12/z, p9.b, p5.b 25455B45 eors p5.b, p6/z, p10.b, p5.b 2543638D eors p13.b, p8/z, p12.b, p3.b 254E73E6 eors p6.b, p12/z, p15.b, p14.b 254963CA eors p10.b, p8/z, p14.b, p9.b 25427E04 eors p4.b, p15/z, p0.b, p2.b 254B6764 eors p4.b, p9/z, p11.b, p11.b 25407225 eors p5.b, p12/z, p1.b, p0.b 254F4641 eors p1.b, p1/z, p2.b, p15.b 25484340 eors p0.b, p0/z, p10.b, p8.b 254F628C eors p12.b, p8/z, p4.b, p15.b 254E5F67 eors p7.b, p7/z, p11.b, p14.b 25456A46 eors p6.b, p10/z, p2.b, p5.b // eorv_r_p_z_ 00000100xx011001001xxxxxxxxxxxxx 041931A8 eorv b8, p4, z13.b 04993A52 eorv s18, p6, z18.s 0499346B eorv s11, p5, z3.s 04593F49 eorv h9, p7, z26.h 04192274 eorv b20, p0, z19.b 04D93B3E eorv d30, p6, z25.d 04D924F8 eorv d24, p1, z7.d 045921E3 eorv h3, p0, z15.h 04593239 eorv h25, p4, z17.h 04D928D6 eorv d22, p2, z6.d 04592B97 eorv h23, p2, z28.h 04593759 eorv h25, p5, z26.h 045931DA eorv h26, p4, z14.h 04193B9D eorv b29, p6, z28.b 04993D43 eorv s3, p7, z10.s 04D9206B eorv d11, p0, z3.d // ext_z_zi_des 00000101001xxxxx00xxxxxxxxxxxxxx 05330A08 ext z8.b, z8.b, z16.b, #0x9a 05290A0C ext z12.b, z12.b, z16.b, #0x4a 05361DBD ext z29.b, z29.b, z13.b, #0xb7 05370DF6 ext z22.b, z22.b, z15.b, #0xbb 05270E5B ext z27.b, z27.b, z18.b, #0x3b 053910E7 ext z7.b, z7.b, z7.b, #0xcc 0525195F ext z31.b, z31.b, z10.b, #0x2e 05270626 ext z6.b, z6.b, z17.b, #0x39 0524104B ext z11.b, z11.b, z2.b, #0x24 053D0927 ext z7.b, z7.b, z9.b, #0xea 052D0DFC ext z28.b, z28.b, z15.b, #0x6b 05380C09 ext z9.b, z9.b, z0.b, #0xc3 05341004 ext z4.b, z4.b, z0.b, #0xa4 052C0FD4 ext z20.b, z20.b, z30.b, #0x63 05361BE6 ext z6.b, z6.b, z31.b, #0xb6 052B081E ext z30.b, z30.b, z0.b, #0x5a // fabd_z_p_zz_ 01100101xx001000100xxxxxxxxxxxxx 65889F72 fabd z18.s, p7/m, z18.s, z27.s 65889925 fabd z5.s, p6/m, z5.s, z9.s 6548826E fabd z14.h, p0/m, z14.h, z19.h 65C89F63 fabd z3.d, p7/m, z3.d, z27.d 658890C1 fabd z1.s, p4/m, z1.s, z6.s 65C884C0 fabd z0.d, p1/m, z0.d, z6.d 65488AA9 fabd z9.h, p2/m, z9.h, z21.h 654880AD fabd z13.h, p0/m, z13.h, z5.h 65C88A84 fabd z4.d, p2/m, z4.d, z20.d 65C88304 fabd z4.d, p0/m, z4.d, z24.d 65889B48 fabd z8.s, p6/m, z8.s, z26.s 65C89CD5 fabd z21.d, p7/m, z21.d, z6.d 65C89C9B fabd z27.d, p7/m, z27.d, z4.d 654898FA fabd z26.h, p6/m, z26.h, z7.h 65888EF0 fabd z16.s, p3/m, z16.s, z23.s 65889692 fabd z18.s, p5/m, z18.s, z20.s // fabs_z_p_z_ 00000100xx011100101xxxxxxxxxxxxx 045CBAF7 fabs z23.h, p6/m, z23.h 045CABDC fabs z28.h, p2/m, z30.h 049CAD41 fabs z1.s, p3/m, z10.s 049CBBE1 fabs z1.s, p6/m, z31.s 049CA8A6 fabs z6.s, p2/m, z5.s 045CAE6E fabs z14.h, p3/m, z19.h 04DCAE75 fabs z21.d, p3/m, z19.d 045CA508 fabs z8.h, p1/m, z8.h 049CAAEE fabs z14.s, p2/m, z23.s 049CBAD4 fabs z20.s, p6/m, z22.s 04DCA72B fabs z11.d, p1/m, z25.d 045CBD59 fabs z25.h, p7/m, z10.h 049CBC44 fabs z4.s, p7/m, z2.s 04DCBB7C fabs z28.d, p6/m, z27.d 04DCB84F fabs z15.d, p6/m, z2.d 045CAF8B fabs z11.h, p3/m, z28.h // facge_p_p_zz_ 01100101xx0xxxxx110xxxxxxxx1xxxx 659DDCD7 facge p7.s, p7/z, z6.s, z29.s 6585CB5D facge p13.s, p2/z, z26.s, z5.s 65C2D63F facge p15.d, p5/z, z17.d, z2.d 6545DF18 facge p8.h, p7/z, z24.h, z5.h 6549CF5F facge p15.h, p3/z, z26.h, z9.h 659ECDF5 facge p5.s, p3/z, z15.s, z30.s 65CAD693 facge p3.d, p5/z, z20.d, z10.d 65D2CC5F facge p15.d, p3/z, z2.d, z18.d 6580D1D5 facge p5.s, p4/z, z14.s, z0.s 65D3D3F9 facge p9.d, p4/z, z31.d, z19.d 65C5DA7E facge p14.d, p6/z, z19.d, z5.d 6596C575 facge p5.s, p1/z, z11.s, z22.s 6587C178 facge p8.s, p0/z, z11.s, z7.s 65CACE7C facge p12.d, p3/z, z19.d, z10.d 65CCCE10 facge p0.d, p3/z, z16.d, z12.d 6557CFD7 facge p7.h, p3/z, z30.h, z23.h // facgt_p_p_zz_ 01100101xx0xxxxx111xxxxxxxx1xxxx 659CE6B2 facgt p2.s, p1/z, z21.s, z28.s 659EE35A facgt p10.s, p0/z, z26.s, z30.s 6541E41F facgt p15.h, p1/z, z0.h, z1.h 6596F794 facgt p4.s, p5/z, z28.s, z22.s 658AFF18 facgt p8.s, p7/z, z24.s, z10.s 658BF4DF facgt p15.s, p5/z, z6.s, z11.s 65CDEB71 facgt p1.d, p2/z, z27.d, z13.d 6541E157 facgt p7.h, p0/z, z10.h, z1.h 659CE351 facgt p1.s, p0/z, z26.s, z28.s 658AF9BC facgt p12.s, p6/z, z13.s, z10.s 655AE2FE facgt p14.h, p0/z, z23.h, z26.h 65D1EA53 facgt p3.d, p2/z, z18.d, z17.d 65D2E7B7 facgt p7.d, p1/z, z29.d, z18.d 6548F4B3 facgt p3.h, p5/z, z5.h, z8.h 65CDF373 facgt p3.d, p4/z, z27.d, z13.d 65CCEA72 facgt p2.d, p2/z, z19.d, z12.d // fadd_z_p_zs_ 01100101xx011000100xxx0000xxxxxx 65D89021 fadd z1.d, p4/m, z1.d, #1.0 6598902A fadd z10.s, p4/m, z10.s, #1.0 6558883A fadd z26.h, p2/m, z26.h, #1.0 65989C33 fadd z19.s, p7/m, z19.s, #1.0 65D8902E fadd z14.d, p4/m, z14.d, #1.0 65D89834 fadd z20.d, p6/m, z20.d, #1.0 65D88C1F fadd z31.d, p3/m, z31.d, #0.5 6598800E fadd z14.s, p0/m, z14.s, #0.5 65989019 fadd z25.s, p4/m, z25.s, #0.5 65D8842F fadd z15.d, p1/m, z15.d, #1.0 65D89017 fadd z23.d, p4/m, z23.d, #0.5 65589C10 fadd z16.h, p7/m, z16.h, #0.5 65D89019 fadd z25.d, p4/m, z25.d, #0.5 65D8843F fadd z31.d, p1/m, z31.d, #1.0 65588421 fadd z1.h, p1/m, z1.h, #1.0 65989C07 fadd z7.s, p7/m, z7.s, #0.5 // fadd_z_p_zz_ 01100101xx000000100xxxxxxxxxxxxx 65C08830 fadd z16.d, p2/m, z16.d, z1.d 65C08789 fadd z9.d, p1/m, z9.d, z28.d 6580815E fadd z30.s, p0/m, z30.s, z10.s 65809E45 fadd z5.s, p7/m, z5.s, z18.s 658081C7 fadd z7.s, p0/m, z7.s, z14.s 65809C99 fadd z25.s, p7/m, z25.s, z4.s 65809C48 fadd z8.s, p7/m, z8.s, z2.s 654080A5 fadd z5.h, p0/m, z5.h, z5.h 65809C01 fadd z1.s, p7/m, z1.s, z0.s 654081D6 fadd z22.h, p0/m, z22.h, z14.h 65C08BAE fadd z14.d, p2/m, z14.d, z29.d 6580954A fadd z10.s, p5/m, z10.s, z10.s 65C08289 fadd z9.d, p0/m, z9.d, z20.d 654098B8 fadd z24.h, p6/m, z24.h, z5.h 65C08C80 fadd z0.d, p3/m, z0.d, z4.d 6580836B fadd z11.s, p0/m, z11.s, z27.s // fadd_z_zz_ 01100101xx0xxxxx000000xxxxxxxxxx 65900036 fadd z22.s, z1.s, z16.s 65CF01D8 fadd z24.d, z14.d, z15.d 659F0228 fadd z8.s, z17.s, z31.s 65D50293 fadd z19.d, z20.d, z21.d 6586022F fadd z15.s, z17.s, z6.s 655F02D2 fadd z18.h, z22.h, z31.h 658D03AE fadd z14.s, z29.s, z13.s 659A00A4 fadd z4.s, z5.s, z26.s 65950062 fadd z2.s, z3.s, z21.s 65810012 fadd z18.s, z0.s, z1.s 6546013E fadd z30.h, z9.h, z6.h 65CD0222 fadd z2.d, z17.d, z13.d 658F024B fadd z11.s, z18.s, z15.s 659101AA fadd z10.s, z13.s, z17.s 6555017E fadd z30.h, z11.h, z21.h 655701AC fadd z12.h, z13.h, z23.h // fadda_v_p_z_ 01100101xx011000001xxxxxxxxxxxxx 655832AB fadda h11, p4, h11, z21.h 65D82D64 fadda d4, p3, d4, z11.d 65582B67 fadda h7, p2, h7, z27.h 65D822EC fadda d12, p0, d12, z23.d 65982F6D fadda s13, p3, s13, z27.s 65983D4F fadda s15, p7, s15, z10.s 65D82785 fadda d5, p1, d5, z28.d 6558226E fadda h14, p0, h14, z19.h 65D82849 fadda d9, p2, d9, z2.d 65583B45 fadda h5, p6, h5, z26.h 65983D8C fadda s12, p7, s12, z12.s 65582169 fadda h9, p0, h9, z11.h 65D82F64 fadda d4, p3, d4, z27.d 65D83092 fadda d18, p4, d18, z4.d 65D82973 fadda d19, p2, d19, z11.d 655838DA fadda h26, p6, h26, z6.h // faddv_v_p_z_ 01100101xx000000001xxxxxxxxxxxxx 65402324 faddv h4, p0, z25.h 6580263F faddv s31, p1, z17.s 658036F6 faddv s22, p5, z23.s 65803494 faddv s20, p5, z4.s 65C02F93 faddv d19, p3, z28.d 65802F8C faddv s12, p3, z28.s 65C02B3C faddv d28, p2, z25.d 65C03651 faddv d17, p5, z18.d 65403EC6 faddv h6, p7, z22.h 65803C5C faddv s28, p7, z2.s 65403AE5 faddv h5, p6, z23.h 654021C4 faddv h4, p0, z14.h 65402397 faddv h23, p0, z28.h 658038CE faddv s14, p6, z6.s 65402E66 faddv h6, p3, z19.h 65C037B6 faddv d22, p5, z29.d // fcadd_z_p_zz_ 01100100xx00000x100xxxxxxxxxxxxx 64418669 fcadd z9.h, p1/m, z9.h, z19.h, #0x10e 64C1897D fcadd z29.d, p2/m, z29.d, z11.d, #0x10e 64419C7D fcadd z29.h, p7/m, z29.h, z3.h, #0x10e 64C18B4C fcadd z12.d, p2/m, z12.d, z26.d, #0x10e 64C1963C fcadd z28.d, p5/m, z28.d, z17.d, #0x10e 64819F22 fcadd z2.s, p7/m, z2.s, z25.s, #0x10e 64408304 fcadd z4.h, p0/m, z4.h, z24.h, #0x5a 64C09C35 fcadd z21.d, p7/m, z21.d, z1.d, #0x5a 64808E77 fcadd z23.s, p3/m, z23.s, z19.s, #0x5a 64819823 fcadd z3.s, p6/m, z3.s, z1.s, #0x10e 64C08E33 fcadd z19.d, p3/m, z19.d, z17.d, #0x5a 64C19E34 fcadd z20.d, p7/m, z20.d, z17.d, #0x10e 64C19D5D fcadd z29.d, p7/m, z29.d, z10.d, #0x10e 64809649 fcadd z9.s, p5/m, z9.s, z18.s, #0x5a 64418C04 fcadd z4.h, p3/m, z4.h, z0.h, #0x10e 64419AD4 fcadd z20.h, p6/m, z20.h, z22.h, #0x10e // fcmeq_p_p_z0_ 01100101xx010010001xxxxxxxx0xxxx 65D23DC8 fcmeq p8.d, p7/z, z14.d, #0.0 65522F04 fcmeq p4.h, p3/z, z24.h, #0.0 6552332D fcmeq p13.h, p4/z, z25.h, #0.0 65922667 fcmeq p7.s, p1/z, z19.s, #0.0 65922B68 fcmeq p8.s, p2/z, z27.s, #0.0 65D22520 fcmeq p0.d, p1/z, z9.d, #0.0 655223C0 fcmeq p0.h, p0/z, z30.h, #0.0 65D23187 fcmeq p7.d, p4/z, z12.d, #0.0 65922181 fcmeq p1.s, p0/z, z12.s, #0.0 65923C2F fcmeq p15.s, p7/z, z1.s, #0.0 65522B8E fcmeq p14.h, p2/z, z28.h, #0.0 65523AA8 fcmeq p8.h, p6/z, z21.h, #0.0 65D23349 fcmeq p9.d, p4/z, z26.d, #0.0 65523C6C fcmeq p12.h, p7/z, z3.h, #0.0 659236A9 fcmeq p9.s, p5/z, z21.s, #0.0 65D23065 fcmeq p5.d, p4/z, z3.d, #0.0 // fcmeq_p_p_zz_ 01100101xx0xxxxx011xxxxxxxx0xxxx 659B7E0C fcmeq p12.s, p7/z, z16.s, z27.s 65CB7AEA fcmeq p10.d, p6/z, z23.d, z11.d 65577AC0 fcmeq p0.h, p6/z, z22.h, z23.h 65566ACE fcmeq p14.h, p2/z, z22.h, z22.h 654A7C68 fcmeq p8.h, p7/z, z3.h, z10.h 65927B82 fcmeq p2.s, p6/z, z28.s, z18.s 658D6440 fcmeq p0.s, p1/z, z2.s, z13.s 659A7CCF fcmeq p15.s, p7/z, z6.s, z26.s 655E6F41 fcmeq p1.h, p3/z, z26.h, z30.h 6549640F fcmeq p15.h, p1/z, z0.h, z9.h 655079EF fcmeq p15.h, p6/z, z15.h, z16.h 65847101 fcmeq p1.s, p4/z, z8.s, z4.s 65806966 fcmeq p6.s, p2/z, z11.s, z0.s 655F7867 fcmeq p7.h, p6/z, z3.h, z31.h 65C86B8E fcmeq p14.d, p2/z, z28.d, z8.d 65DE7D44 fcmeq p4.d, p7/z, z10.d, z30.d // fcmge_p_p_z0_ 01100101xx010000001xxxxxxxx0xxxx 65502008 fcmge p8.h, p0/z, z0.h, #0.0 65503445 fcmge p5.h, p5/z, z2.h, #0.0 65D02DE1 fcmge p1.d, p3/z, z15.d, #0.0 65D03C2E fcmge p14.d, p7/z, z1.d, #0.0 65503387 fcmge p7.h, p4/z, z28.h, #0.0 65903C29 fcmge p9.s, p7/z, z1.s, #0.0 65502F42 fcmge p2.h, p3/z, z26.h, #0.0 65902E08 fcmge p8.s, p3/z, z16.s, #0.0 65D03524 fcmge p4.d, p5/z, z9.d, #0.0 65D0382B fcmge p11.d, p6/z, z1.d, #0.0 655036A7 fcmge p7.h, p5/z, z21.h, #0.0 65903727 fcmge p7.s, p5/z, z25.s, #0.0 65902226 fcmge p6.s, p0/z, z17.s, #0.0 65502BCA fcmge p10.h, p2/z, z30.h, #0.0 65902145 fcmge p5.s, p0/z, z10.s, #0.0 65502085 fcmge p5.h, p0/z, z4.h, #0.0 // fcmge_p_p_zz_ 01100101xx0xxxxx010xxxxxxxx0xxxx 655D4ECA fcmge p10.h, p3/z, z22.h, z29.h 654956CB fcmge p11.h, p5/z, z22.h, z9.h 6593566E fcmge p14.s, p5/z, z19.s, z19.s 658848E9 fcmge p9.s, p2/z, z7.s, z8.s 658F544F fcmge p15.s, p5/z, z2.s, z15.s 65DB4569 fcmge p9.d, p1/z, z11.d, z27.d 6550586D fcmge p13.h, p6/z, z3.h, z16.h 65515E8B fcmge p11.h, p7/z, z20.h, z17.h 659B4EE4 fcmge p4.s, p3/z, z23.s, z27.s 659C4462 fcmge p2.s, p1/z, z3.s, z28.s 655143E2 fcmge p2.h, p0/z, z31.h, z17.h 659B4C2A fcmge p10.s, p3/z, z1.s, z27.s 654F53A1 fcmge p1.h, p4/z, z29.h, z15.h 65CC5724 fcmge p4.d, p5/z, z25.d, z12.d 65DD588B fcmge p11.d, p6/z, z4.d, z29.d 658756C3 fcmge p3.s, p5/z, z22.s, z7.s // fcmgt_p_p_z0_ 01100101xx010000001xxxxxxxx1xxxx 65D02914 fcmgt p4.d, p2/z, z8.d, #0.0 659029DE fcmgt p14.s, p2/z, z14.s, #0.0 655020BB fcmgt p11.h, p0/z, z5.h, #0.0 65902434 fcmgt p4.s, p1/z, z1.s, #0.0 655038D0 fcmgt p0.h, p6/z, z6.h, #0.0 655025DB fcmgt p11.h, p1/z, z14.h, #0.0 6550349A fcmgt p10.h, p5/z, z4.h, #0.0 65903C19 fcmgt p9.s, p7/z, z0.s, #0.0 65503553 fcmgt p3.h, p5/z, z10.h, #0.0 65502A56 fcmgt p6.h, p2/z, z18.h, #0.0 65903B9B fcmgt p11.s, p6/z, z28.s, #0.0 65502813 fcmgt p3.h, p2/z, z0.h, #0.0 65D033F3 fcmgt p3.d, p4/z, z31.d, #0.0 65503B78 fcmgt p8.h, p6/z, z27.h, #0.0 65D02AD0 fcmgt p0.d, p2/z, z22.d, #0.0 6550297C fcmgt p12.h, p2/z, z11.h, #0.0 // fcmgt_p_p_zz_ 01100101xx0xxxxx010xxxxxxxx1xxxx 65465A9C fcmgt p12.h, p6/z, z20.h, z6.h 65C05C39 fcmgt p9.d, p7/z, z1.d, z0.d 655B407D fcmgt p13.h, p0/z, z3.h, z27.h 65CD4A58 fcmgt p8.d, p2/z, z18.d, z13.d 658A5AB1 fcmgt p1.s, p6/z, z21.s, z10.s 65D45B34 fcmgt p4.d, p6/z, z25.d, z20.d 6584415E fcmgt p14.s, p0/z, z10.s, z4.s 658C5292 fcmgt p2.s, p4/z, z20.s, z12.s 65DA4075 fcmgt p5.d, p0/z, z3.d, z26.d 65565136 fcmgt p6.h, p4/z, z9.h, z22.h 65C44E9D fcmgt p13.d, p3/z, z20.d, z4.d 65984773 fcmgt p3.s, p1/z, z27.s, z24.s 654F421A fcmgt p10.h, p0/z, z16.h, z15.h 65C551BE fcmgt p14.d, p4/z, z13.d, z5.d 659746FF fcmgt p15.s, p1/z, z23.s, z23.s 65D05139 fcmgt p9.d, p4/z, z9.d, z16.d // fcmla_z_p_zzz_ 01100100xx0xxxxx0xxxxxxxxxxxxxxx 6488233A fcmla z26.s, p0/m, z25.s, z8.s, #0x5a 645B6091 fcmla z17.h, p0/m, z4.h, z27.h, #0x10e 649F3C61 fcmla z1.s, p7/m, z3.s, z31.s, #0x5a 648846EF fcmla z15.s, p1/m, z23.s, z8.s, #0xb4 64C818A4 fcmla z4.d, p6/m, z5.d, z8.d, #0x0 64C73559 fcmla z25.d, p5/m, z10.d, z7.d, #0x5a 648830F8 fcmla z24.s, p4/m, z7.s, z8.s, #0x5a 64565A49 fcmla z9.h, p6/m, z18.h, z22.h, #0xb4 64D37AF5 fcmla z21.d, p6/m, z23.d, z19.d, #0x10e 649C30AD fcmla z13.s, p4/m, z5.s, z28.s, #0x5a 6490780A fcmla z10.s, p6/m, z0.s, z16.s, #0x10e 64D45AD7 fcmla z23.d, p6/m, z22.d, z20.d, #0xb4 64857CE0 fcmla z0.s, p7/m, z7.s, z5.s, #0x10e 64DA6165 fcmla z5.d, p0/m, z11.d, z26.d, #0x10e 64DD4DFE fcmla z30.d, p3/m, z15.d, z29.d, #0xb4 64C9195A fcmla z26.d, p6/m, z10.d, z9.d, #0x0 // fcmla_z_zzzi_h 01100100101xxxxx0001xxxxxxxxxxxx 64A81101 fcmla z1.h, z8.h, z0.h[1], #0x0 64BA15CF fcmla z15.h, z14.h, z2.h[3], #0x5a 64B11A60 fcmla z0.h, z19.h, z1.h[2], #0xb4 64B014B5 fcmla z21.h, z5.h, z0.h[2], #0x5a 64B217CD fcmla z13.h, z30.h, z2.h[2], #0x5a 64AC16AD fcmla z13.h, z21.h, z4.h[1], #0x5a 64A81157 fcmla z23.h, z10.h, z0.h[1], #0x0 64B71734 fcmla z20.h, z25.h, z7.h[2], #0x5a 64BD1497 fcmla z23.h, z4.h, z5.h[3], #0x5a 64AC1C32 fcmla z18.h, z1.h, z4.h[1], #0x10e 64A41A32 fcmla z18.h, z17.h, z4.h[0], #0xb4 64A9147D fcmla z29.h, z3.h, z1.h[1], #0x5a 64A41C50 fcmla z16.h, z2.h, z4.h[0], #0x10e 64B11492 fcmla z18.h, z4.h, z1.h[2], #0x5a 64BA1A7E fcmla z30.h, z19.h, z2.h[3], #0xb4 64AC1198 fcmla z24.h, z12.h, z4.h[1], #0x0 // fcmla_z_zzzi_s 01100100111xxxxx0001xxxxxxxxxxxx 64F01EAE fcmla z14.s, z21.s, z0.s[1], #0x10e 64F91816 fcmla z22.s, z0.s, z9.s[1], #0xb4 64E41352 fcmla z18.s, z26.s, z4.s[0], #0x0 64F51547 fcmla z7.s, z10.s, z5.s[1], #0x5a 64F91189 fcmla z9.s, z12.s, z9.s[1], #0x0 64EE1E95 fcmla z21.s, z20.s, z14.s[0], #0x10e 64F714A0 fcmla z0.s, z5.s, z7.s[1], #0x5a 64FF1B18 fcmla z24.s, z24.s, z15.s[1], #0xb4 64EB17B4 fcmla z20.s, z29.s, z11.s[0], #0x5a 64F31113 fcmla z19.s, z8.s, z3.s[1], #0x0 64FF10F8 fcmla z24.s, z7.s, z15.s[1], #0x0 64FE1720 fcmla z0.s, z25.s, z14.s[1], #0x5a 64F11097 fcmla z23.s, z4.s, z1.s[1], #0x0 64EE1C6E fcmla z14.s, z3.s, z14.s[0], #0x10e 64FC1F3A fcmla z26.s, z25.s, z12.s[1], #0x10e 64E01C5B fcmla z27.s, z2.s, z0.s[0], #0x10e // fcmle_p_p_z0_ 01100101xx010001001xxxxxxxx1xxxx 65D133D7 fcmle p7.d, p4/z, z30.d, #0.0 65D139B8 fcmle p8.d, p6/z, z13.d, #0.0 655139FB fcmle p11.h, p6/z, z15.h, #0.0 65512FDD fcmle p13.h, p3/z, z30.h, #0.0 65913A18 fcmle p8.s, p6/z, z16.s, #0.0 65912493 fcmle p3.s, p1/z, z4.s, #0.0 65D1299C fcmle p12.d, p2/z, z12.d, #0.0 65D13FB3 fcmle p3.d, p7/z, z29.d, #0.0 65D12F1D fcmle p13.d, p3/z, z24.d, #0.0 65D135DC fcmle p12.d, p5/z, z14.d, #0.0 6551305F fcmle p15.h, p4/z, z2.h, #0.0 65912F35 fcmle p5.s, p3/z, z25.s, #0.0 65513B33 fcmle p3.h, p6/z, z25.h, #0.0 65512593 fcmle p3.h, p1/z, z12.h, #0.0 65512F16 fcmle p6.h, p3/z, z24.h, #0.0 6591385C fcmle p12.s, p6/z, z2.s, #0.0 // fcmlt_p_p_z0_ 01100101xx010001001xxxxxxxx0xxxx 65D13CED fcmlt p13.d, p7/z, z7.d, #0.0 655125A7 fcmlt p7.h, p1/z, z13.h, #0.0 65D12888 fcmlt p8.d, p2/z, z4.d, #0.0 65912D09 fcmlt p9.s, p3/z, z8.s, #0.0 65D12183 fcmlt p3.d, p0/z, z12.d, #0.0 65D1220C fcmlt p12.d, p0/z, z16.d, #0.0 65513023 fcmlt p3.h, p4/z, z1.h, #0.0 65513563 fcmlt p3.h, p5/z, z11.h, #0.0 65513201 fcmlt p1.h, p4/z, z16.h, #0.0 65512B41 fcmlt p1.h, p2/z, z26.h, #0.0 65513381 fcmlt p1.h, p4/z, z28.h, #0.0 65D134EF fcmlt p15.d, p5/z, z7.d, #0.0 65D1388D fcmlt p13.d, p6/z, z4.d, #0.0 65512CAA fcmlt p10.h, p3/z, z5.h, #0.0 65513C0E fcmlt p14.h, p7/z, z0.h, #0.0 65913062 fcmlt p2.s, p4/z, z3.s, #0.0 // fcmne_p_p_z0_ 01100101xx010011001xxxxxxxx0xxxx 65532D0E fcmne p14.h, p3/z, z8.h, #0.0 65D33EED fcmne p13.d, p7/z, z23.d, #0.0 65933383 fcmne p3.s, p4/z, z28.s, #0.0 65533CCB fcmne p11.h, p7/z, z6.h, #0.0 65533E63 fcmne p3.h, p7/z, z19.h, #0.0 65933E25 fcmne p5.s, p7/z, z17.s, #0.0 65D328A9 fcmne p9.d, p2/z, z5.d, #0.0 6593226F fcmne p15.s, p0/z, z19.s, #0.0 65533CE9 fcmne p9.h, p7/z, z7.h, #0.0 65932CE3 fcmne p3.s, p3/z, z7.s, #0.0 65532143 fcmne p3.h, p0/z, z10.h, #0.0 65932020 fcmne p0.s, p0/z, z1.s, #0.0 6593384A fcmne p10.s, p6/z, z2.s, #0.0 65932C2C fcmne p12.s, p3/z, z1.s, #0.0 65532343 fcmne p3.h, p0/z, z26.h, #0.0 65D32987 fcmne p7.d, p2/z, z12.d, #0.0 // fcmne_p_p_zz_ 01100101xx0xxxxx011xxxxxxxx1xxxx 65C6639A fcmne p10.d, p0/z, z28.d, z6.d 659E7CD6 fcmne p6.s, p7/z, z6.s, z30.s 65D47999 fcmne p9.d, p6/z, z12.d, z20.d 65C97C78 fcmne p8.d, p7/z, z3.d, z9.d 65CC61BB fcmne p11.d, p0/z, z13.d, z12.d 658961B9 fcmne p9.s, p0/z, z13.s, z9.s 654178F6 fcmne p6.h, p6/z, z7.h, z1.h 65C173B8 fcmne p8.d, p4/z, z29.d, z1.d 65D76AD3 fcmne p3.d, p2/z, z22.d, z23.d 65516F34 fcmne p4.h, p3/z, z25.h, z17.h 65557AD6 fcmne p6.h, p6/z, z22.h, z21.h 654B7532 fcmne p2.h, p5/z, z9.h, z11.h 658C7AB0 fcmne p0.s, p6/z, z21.s, z12.s 65C572FC fcmne p12.d, p4/z, z23.d, z5.d 65C76D3E fcmne p14.d, p3/z, z9.d, z7.d 654B7CDE fcmne p14.h, p7/z, z6.h, z11.h // fcmuo_p_p_zz_ 01100101xx0xxxxx110xxxxxxxx0xxxx 6542D98A fcmuo p10.h, p6/z, z12.h, z2.h 6546CD00 fcmuo p0.h, p3/z, z8.h, z6.h 65D2D4A1 fcmuo p1.d, p5/z, z5.d, z18.d 65C2DF85 fcmuo p5.d, p7/z, z28.d, z2.d 6551D84C fcmuo p12.h, p6/z, z2.h, z17.h 6580D181 fcmuo p1.s, p4/z, z12.s, z0.s 65C0C846 fcmuo p6.d, p2/z, z2.d, z0.d 655CCC27 fcmuo p7.h, p3/z, z1.h, z28.h 6540D46C fcmuo p12.h, p5/z, z3.h, z0.h 6543C5C1 fcmuo p1.h, p1/z, z14.h, z3.h 65D9C80D fcmuo p13.d, p2/z, z0.d, z25.d 6587DB82 fcmuo p2.s, p6/z, z28.s, z7.s 6548C8E7 fcmuo p7.h, p2/z, z7.h, z8.h 65D0D248 fcmuo p8.d, p4/z, z18.d, z16.d 655DC44D fcmuo p13.h, p1/z, z2.h, z29.h 654CC74F fcmuo p15.h, p1/z, z26.h, z12.h // fcvt_z_p_z_d2h 0110010111001000101xxxxxxxxxxxxx 65C8AB88 fcvt z8.h, p2/m, z28.d 65C8A44F fcvt z15.h, p1/m, z2.d 65C8B880 fcvt z0.h, p6/m, z4.d 65C8AB53 fcvt z19.h, p2/m, z26.d 65C8B720 fcvt z0.h, p5/m, z25.d 65C8A517 fcvt z23.h, p1/m, z8.d 65C8B5A3 fcvt z3.h, p5/m, z13.d 65C8AC3A fcvt z26.h, p3/m, z1.d 65C8B835 fcvt z21.h, p6/m, z1.d 65C8B177 fcvt z23.h, p4/m, z11.d 65C8A4C2 fcvt z2.h, p1/m, z6.d 65C8A792 fcvt z18.h, p1/m, z28.d 65C8A201 fcvt z1.h, p0/m, z16.d 65C8BE2F fcvt z15.h, p7/m, z17.d 65C8A55D fcvt z29.h, p1/m, z10.d 65C8B327 fcvt z7.h, p4/m, z25.d // fcvt_z_p_z_d2s 0110010111001010101xxxxxxxxxxxxx 65CAB4FB fcvt z27.s, p5/m, z7.d 65CAB196 fcvt z22.s, p4/m, z12.d 65CAA7DD fcvt z29.s, p1/m, z30.d 65CAAACD fcvt z13.s, p2/m, z22.d 65CABF69 fcvt z9.s, p7/m, z27.d 65CAA240 fcvt z0.s, p0/m, z18.d 65CAA1AD fcvt z13.s, p0/m, z13.d 65CABDAB fcvt z11.s, p7/m, z13.d 65CAA6AD fcvt z13.s, p1/m, z21.d 65CAB9EB fcvt z11.s, p6/m, z15.d 65CAAEA2 fcvt z2.s, p3/m, z21.d 65CAADC9 fcvt z9.s, p3/m, z14.d 65CAB010 fcvt z16.s, p4/m, z0.d 65CAAB82 fcvt z2.s, p2/m, z28.d 65CAA36D fcvt z13.s, p0/m, z27.d 65CABD9A fcvt z26.s, p7/m, z12.d // fcvt_z_p_z_h2d 0110010111001001101xxxxxxxxxxxxx 65C9B5AE fcvt z14.d, p5/m, z13.h 65C9B352 fcvt z18.d, p4/m, z26.h 65C9BDB9 fcvt z25.d, p7/m, z13.h 65C9BEB7 fcvt z23.d, p7/m, z21.h 65C9AE44 fcvt z4.d, p3/m, z18.h 65C9ABA3 fcvt z3.d, p2/m, z29.h 65C9A57B fcvt z27.d, p1/m, z11.h 65C9AA87 fcvt z7.d, p2/m, z20.h 65C9B1AB fcvt z11.d, p4/m, z13.h 65C9B98F fcvt z15.d, p6/m, z12.h 65C9B3FA fcvt z26.d, p4/m, z31.h 65C9ABFC fcvt z28.d, p2/m, z31.h 65C9A65B fcvt z27.d, p1/m, z18.h 65C9B22E fcvt z14.d, p4/m, z17.h 65C9B780 fcvt z0.d, p5/m, z28.h 65C9A3F1 fcvt z17.d, p0/m, z31.h // fcvt_z_p_z_h2s 0110010110001001101xxxxxxxxxxxxx 6589A52A fcvt z10.s, p1/m, z9.h 6589BC86 fcvt z6.s, p7/m, z4.h 6589BB72 fcvt z18.s, p6/m, z27.h 6589B542 fcvt z2.s, p5/m, z10.h 6589A324 fcvt z4.s, p0/m, z25.h 6589B568 fcvt z8.s, p5/m, z11.h 6589A7AD fcvt z13.s, p1/m, z29.h 6589B8E8 fcvt z8.s, p6/m, z7.h 6589B187 fcvt z7.s, p4/m, z12.h 6589BF84 fcvt z4.s, p7/m, z28.h 6589AFF5 fcvt z21.s, p3/m, z31.h 6589BB52 fcvt z18.s, p6/m, z26.h 6589B16C fcvt z12.s, p4/m, z11.h 6589B5BC fcvt z28.s, p5/m, z13.h 6589BC70 fcvt z16.s, p7/m, z3.h 6589BC17 fcvt z23.s, p7/m, z0.h // fcvt_z_p_z_s2d 0110010111001011101xxxxxxxxxxxxx 65CBB52C fcvt z12.d, p5/m, z9.s 65CBA705 fcvt z5.d, p1/m, z24.s 65CBB4CD fcvt z13.d, p5/m, z6.s 65CBABF4 fcvt z20.d, p2/m, z31.s 65CBA789 fcvt z9.d, p1/m, z28.s 65CBBE4D fcvt z13.d, p7/m, z18.s 65CBA4C4 fcvt z4.d, p1/m, z6.s 65CBAAAA fcvt z10.d, p2/m, z21.s 65CBB6B2 fcvt z18.d, p5/m, z21.s 65CBB31C fcvt z28.d, p4/m, z24.s 65CBBC8E fcvt z14.d, p7/m, z4.s 65CBB34C fcvt z12.d, p4/m, z26.s 65CBA67A fcvt z26.d, p1/m, z19.s 65CBACE2 fcvt z2.d, p3/m, z7.s 65CBA9E9 fcvt z9.d, p2/m, z15.s 65CBAA4E fcvt z14.d, p2/m, z18.s // fcvt_z_p_z_s2h 0110010110001000101xxxxxxxxxxxxx 6588A7C2 fcvt z2.h, p1/m, z30.s 6588AB0A fcvt z10.h, p2/m, z24.s 6588B727 fcvt z7.h, p5/m, z25.s 6588BFC1 fcvt z1.h, p7/m, z30.s 6588B0A4 fcvt z4.h, p4/m, z5.s 6588B24E fcvt z14.h, p4/m, z18.s 6588A946 fcvt z6.h, p2/m, z10.s 6588A9DC fcvt z28.h, p2/m, z14.s 6588B85E fcvt z30.h, p6/m, z2.s 6588A14F fcvt z15.h, p0/m, z10.s 6588B98A fcvt z10.h, p6/m, z12.s 6588A3E1 fcvt z1.h, p0/m, z31.s 6588B68C fcvt z12.h, p5/m, z20.s 6588BC68 fcvt z8.h, p7/m, z3.s 6588B758 fcvt z24.h, p5/m, z26.s 6588A613 fcvt z19.h, p1/m, z16.s // fcvtzs_z_p_z_d2w 0110010111011000101xxxxxxxxxxxxx 65D8A0D7 fcvtzs z23.s, p0/m, z6.d 65D8A817 fcvtzs z23.s, p2/m, z0.d 65D8A1CB fcvtzs z11.s, p0/m, z14.d 65D8A628 fcvtzs z8.s, p1/m, z17.d 65D8A2BE fcvtzs z30.s, p0/m, z21.d 65D8B217 fcvtzs z23.s, p4/m, z16.d 65D8BA9A fcvtzs z26.s, p6/m, z20.d 65D8AF6B fcvtzs z11.s, p3/m, z27.d 65D8AC7F fcvtzs z31.s, p3/m, z3.d 65D8A713 fcvtzs z19.s, p1/m, z24.d 65D8B18B fcvtzs z11.s, p4/m, z12.d 65D8B9D1 fcvtzs z17.s, p6/m, z14.d 65D8A24D fcvtzs z13.s, p0/m, z18.d 65D8BBF4 fcvtzs z20.s, p6/m, z31.d 65D8AFD3 fcvtzs z19.s, p3/m, z30.d 65D8AAB5 fcvtzs z21.s, p2/m, z21.d // fcvtzs_z_p_z_d2x 0110010111011110101xxxxxxxxxxxxx 65DEB3F7 fcvtzs z23.d, p4/m, z31.d 65DEAEF5 fcvtzs z21.d, p3/m, z23.d 65DEBB06 fcvtzs z6.d, p6/m, z24.d 65DEB8D3 fcvtzs z19.d, p6/m, z6.d 65DEADDD fcvtzs z29.d, p3/m, z14.d 65DEAB1C fcvtzs z28.d, p2/m, z24.d 65DEBBFC fcvtzs z28.d, p6/m, z31.d 65DEB7C0 fcvtzs z0.d, p5/m, z30.d 65DEB45A fcvtzs z26.d, p5/m, z2.d 65DEBEF4 fcvtzs z20.d, p7/m, z23.d 65DEA226 fcvtzs z6.d, p0/m, z17.d 65DEA429 fcvtzs z9.d, p1/m, z1.d 65DEB563 fcvtzs z3.d, p5/m, z11.d 65DEB601 fcvtzs z1.d, p5/m, z16.d 65DEACD6 fcvtzs z22.d, p3/m, z6.d 65DEBFDB fcvtzs z27.d, p7/m, z30.d // fcvtzs_z_p_z_fp162h 0110010101011010101xxxxxxxxxxxxx 655AAA80 fcvtzs z0.h, p2/m, z20.h 655AB5B3 fcvtzs z19.h, p5/m, z13.h 655ABE88 fcvtzs z8.h, p7/m, z20.h 655AA750 fcvtzs z16.h, p1/m, z26.h 655AA905 fcvtzs z5.h, p2/m, z8.h 655AADBA fcvtzs z26.h, p3/m, z13.h 655AA94E fcvtzs z14.h, p2/m, z10.h 655AAA53 fcvtzs z19.h, p2/m, z18.h 655AAF16 fcvtzs z22.h, p3/m, z24.h 655AA7B1 fcvtzs z17.h, p1/m, z29.h 655AB492 fcvtzs z18.h, p5/m, z4.h 655AA1FD fcvtzs z29.h, p0/m, z15.h 655AB83C fcvtzs z28.h, p6/m, z1.h 655ABB7D fcvtzs z29.h, p6/m, z27.h 655AB0E2 fcvtzs z2.h, p4/m, z7.h 655AA109 fcvtzs z9.h, p0/m, z8.h // fcvtzs_z_p_z_fp162w 0110010101011100101xxxxxxxxxxxxx 655CA2A3 fcvtzs z3.s, p0/m, z21.h 655CAB31 fcvtzs z17.s, p2/m, z25.h 655CA4CA fcvtzs z10.s, p1/m, z6.h 655CA60C fcvtzs z12.s, p1/m, z16.h 655CBB2D fcvtzs z13.s, p6/m, z25.h 655CB191 fcvtzs z17.s, p4/m, z12.h 655CA514 fcvtzs z20.s, p1/m, z8.h 655CB27F fcvtzs z31.s, p4/m, z19.h 655CBCFB fcvtzs z27.s, p7/m, z7.h 655CA130 fcvtzs z16.s, p0/m, z9.h 655CB111 fcvtzs z17.s, p4/m, z8.h 655CB33D fcvtzs z29.s, p4/m, z25.h 655CBF4B fcvtzs z11.s, p7/m, z26.h 655CBDF4 fcvtzs z20.s, p7/m, z15.h 655CBB6C fcvtzs z12.s, p6/m, z27.h 655CBB40 fcvtzs z0.s, p6/m, z26.h // fcvtzs_z_p_z_fp162x 0110010101011110101xxxxxxxxxxxxx 655EA0A1 fcvtzs z1.d, p0/m, z5.h 655EAC01 fcvtzs z1.d, p3/m, z0.h 655EBD7F fcvtzs z31.d, p7/m, z11.h 655EBE8F fcvtzs z15.d, p7/m, z20.h 655EA08C fcvtzs z12.d, p0/m, z4.h 655EAD22 fcvtzs z2.d, p3/m, z9.h 655EAB03 fcvtzs z3.d, p2/m, z24.h 655EBC44 fcvtzs z4.d, p7/m, z2.h 655EAB71 fcvtzs z17.d, p2/m, z27.h 655EB4C5 fcvtzs z5.d, p5/m, z6.h 655EB23C fcvtzs z28.d, p4/m, z17.h 655EA513 fcvtzs z19.d, p1/m, z8.h 655EB16C fcvtzs z12.d, p4/m, z11.h 655EA859 fcvtzs z25.d, p2/m, z2.h 655EB216 fcvtzs z22.d, p4/m, z16.h 655EB58F fcvtzs z15.d, p5/m, z12.h // fcvtzs_z_p_z_s2w 0110010110011100101xxxxxxxxxxxxx 659CBC71 fcvtzs z17.s, p7/m, z3.s 659CBAF2 fcvtzs z18.s, p6/m, z23.s 659CBE4B fcvtzs z11.s, p7/m, z18.s 659CB94C fcvtzs z12.s, p6/m, z10.s 659CAA88 fcvtzs z8.s, p2/m, z20.s 659CB5BD fcvtzs z29.s, p5/m, z13.s 659CAC3F fcvtzs z31.s, p3/m, z1.s 659CBC89 fcvtzs z9.s, p7/m, z4.s 659CABDA fcvtzs z26.s, p2/m, z30.s 659CB3B2 fcvtzs z18.s, p4/m, z29.s 659CA93B fcvtzs z27.s, p2/m, z9.s 659CAF2E fcvtzs z14.s, p3/m, z25.s 659CAA39 fcvtzs z25.s, p2/m, z17.s 659CBCFA fcvtzs z26.s, p7/m, z7.s 659CB2A1 fcvtzs z1.s, p4/m, z21.s 659CA1AB fcvtzs z11.s, p0/m, z13.s // fcvtzs_z_p_z_s2x 0110010111011100101xxxxxxxxxxxxx 65DCA1E6 fcvtzs z6.d, p0/m, z15.s 65DCAF49 fcvtzs z9.d, p3/m, z26.s 65DCAB9D fcvtzs z29.d, p2/m, z28.s 65DCABEB fcvtzs z11.d, p2/m, z31.s 65DCAE67 fcvtzs z7.d, p3/m, z19.s 65DCAFB4 fcvtzs z20.d, p3/m, z29.s 65DCA671 fcvtzs z17.d, p1/m, z19.s 65DCB33C fcvtzs z28.d, p4/m, z25.s 65DCA7F4 fcvtzs z20.d, p1/m, z31.s 65DCA9FA fcvtzs z26.d, p2/m, z15.s 65DCA3EF fcvtzs z15.d, p0/m, z31.s 65DCA5E6 fcvtzs z6.d, p1/m, z15.s 65DCBD92 fcvtzs z18.d, p7/m, z12.s 65DCAF8E fcvtzs z14.d, p3/m, z28.s 65DCA892 fcvtzs z18.d, p2/m, z4.s 65DCB603 fcvtzs z3.d, p5/m, z16.s // fcvtzu_z_p_z_d2w 0110010111011001101xxxxxxxxxxxxx 65D9B97F fcvtzu z31.s, p6/m, z11.d 65D9ADD3 fcvtzu z19.s, p3/m, z14.d 65D9AF47 fcvtzu z7.s, p3/m, z26.d 65D9AC00 fcvtzu z0.s, p3/m, z0.d 65D9AE35 fcvtzu z21.s, p3/m, z17.d 65D9B6E8 fcvtzu z8.s, p5/m, z23.d 65D9B1E9 fcvtzu z9.s, p4/m, z15.d 65D9A856 fcvtzu z22.s, p2/m, z2.d 65D9AE31 fcvtzu z17.s, p3/m, z17.d 65D9A772 fcvtzu z18.s, p1/m, z27.d 65D9B2A1 fcvtzu z1.s, p4/m, z21.d 65D9B2F4 fcvtzu z20.s, p4/m, z23.d 65D9BF7F fcvtzu z31.s, p7/m, z27.d 65D9B8EA fcvtzu z10.s, p6/m, z7.d 65D9B72E fcvtzu z14.s, p5/m, z25.d 65D9BBE1 fcvtzu z1.s, p6/m, z31.d // fcvtzu_z_p_z_d2x 0110010111011111101xxxxxxxxxxxxx 65DFA6BB fcvtzu z27.d, p1/m, z21.d 65DFB956 fcvtzu z22.d, p6/m, z10.d 65DFAA87 fcvtzu z7.d, p2/m, z20.d 65DFB3DF fcvtzu z31.d, p4/m, z30.d 65DFA189 fcvtzu z9.d, p0/m, z12.d 65DFA7AB fcvtzu z11.d, p1/m, z29.d 65DFA225 fcvtzu z5.d, p0/m, z17.d 65DFB304 fcvtzu z4.d, p4/m, z24.d 65DFB15F fcvtzu z31.d, p4/m, z10.d 65DFB6A9 fcvtzu z9.d, p5/m, z21.d 65DFA794 fcvtzu z20.d, p1/m, z28.d 65DFAAAE fcvtzu z14.d, p2/m, z21.d 65DFB5DB fcvtzu z27.d, p5/m, z14.d 65DFA60F fcvtzu z15.d, p1/m, z16.d 65DFA900 fcvtzu z0.d, p2/m, z8.d 65DFAB27 fcvtzu z7.d, p2/m, z25.d // fcvtzu_z_p_z_fp162h 0110010101011011101xxxxxxxxxxxxx 655BBBAA fcvtzu z10.h, p6/m, z29.h 655BB0EB fcvtzu z11.h, p4/m, z7.h 655BB77A fcvtzu z26.h, p5/m, z27.h 655BA15C fcvtzu z28.h, p0/m, z10.h 655BBE78 fcvtzu z24.h, p7/m, z19.h 655BB5A9 fcvtzu z9.h, p5/m, z13.h 655BAF8C fcvtzu z12.h, p3/m, z28.h 655BB380 fcvtzu z0.h, p4/m, z28.h 655BA5E2 fcvtzu z2.h, p1/m, z15.h 655BBCF0 fcvtzu z16.h, p7/m, z7.h 655BB820 fcvtzu z0.h, p6/m, z1.h 655BAC1D fcvtzu z29.h, p3/m, z0.h 655BBC93 fcvtzu z19.h, p7/m, z4.h 655BB64D fcvtzu z13.h, p5/m, z18.h 655BB9A7 fcvtzu z7.h, p6/m, z13.h 655BA370 fcvtzu z16.h, p0/m, z27.h // fcvtzu_z_p_z_fp162w 0110010101011101101xxxxxxxxxxxxx 655DB6C9 fcvtzu z9.s, p5/m, z22.h 655DA87D fcvtzu z29.s, p2/m, z3.h 655DB760 fcvtzu z0.s, p5/m, z27.h 655DA9CD fcvtzu z13.s, p2/m, z14.h 655DB820 fcvtzu z0.s, p6/m, z1.h 655DB4D7 fcvtzu z23.s, p5/m, z6.h 655DACEB fcvtzu z11.s, p3/m, z7.h 655DA6FE fcvtzu z30.s, p1/m, z23.h 655DACAC fcvtzu z12.s, p3/m, z5.h 655DB413 fcvtzu z19.s, p5/m, z0.h 655DB704 fcvtzu z4.s, p5/m, z24.h 655DAC59 fcvtzu z25.s, p3/m, z2.h 655DA817 fcvtzu z23.s, p2/m, z0.h 655DA374 fcvtzu z20.s, p0/m, z27.h 655DBC64 fcvtzu z4.s, p7/m, z3.h 655DBF8E fcvtzu z14.s, p7/m, z28.h // fcvtzu_z_p_z_fp162x 0110010101011111101xxxxxxxxxxxxx 655FA131 fcvtzu z17.d, p0/m, z9.h 655FAB87 fcvtzu z7.d, p2/m, z28.h 655FBF4E fcvtzu z14.d, p7/m, z26.h 655FAB1E fcvtzu z30.d, p2/m, z24.h 655FAF3C fcvtzu z28.d, p3/m, z25.h 655FAF2E fcvtzu z14.d, p3/m, z25.h 655FBABB fcvtzu z27.d, p6/m, z21.h 655FA6D4 fcvtzu z20.d, p1/m, z22.h 655FB3D9 fcvtzu z25.d, p4/m, z30.h 655FB824 fcvtzu z4.d, p6/m, z1.h 655FB37B fcvtzu z27.d, p4/m, z27.h 655FA819 fcvtzu z25.d, p2/m, z0.h 655FB465 fcvtzu z5.d, p5/m, z3.h 655FB003 fcvtzu z3.d, p4/m, z0.h 655FBF11 fcvtzu z17.d, p7/m, z24.h 655FA70C fcvtzu z12.d, p1/m, z24.h // fcvtzu_z_p_z_s2w 0110010110011101101xxxxxxxxxxxxx 659DAC41 fcvtzu z1.s, p3/m, z2.s 659DAE30 fcvtzu z16.s, p3/m, z17.s 659DA0E1 fcvtzu z1.s, p0/m, z7.s 659DB81B fcvtzu z27.s, p6/m, z0.s 659DAFBA fcvtzu z26.s, p3/m, z29.s 659DB466 fcvtzu z6.s, p5/m, z3.s 659DBA9E fcvtzu z30.s, p6/m, z20.s 659DA565 fcvtzu z5.s, p1/m, z11.s 659DABF5 fcvtzu z21.s, p2/m, z31.s 659DA5D0 fcvtzu z16.s, p1/m, z14.s 659DBE6E fcvtzu z14.s, p7/m, z19.s 659DBBCF fcvtzu z15.s, p6/m, z30.s 659DA7FB fcvtzu z27.s, p1/m, z31.s 659DA086 fcvtzu z6.s, p0/m, z4.s 659DBBD4 fcvtzu z20.s, p6/m, z30.s 659DA339 fcvtzu z25.s, p0/m, z25.s // fcvtzu_z_p_z_s2x 0110010111011101101xxxxxxxxxxxxx 65DDAEE9 fcvtzu z9.d, p3/m, z23.s 65DDA04F fcvtzu z15.d, p0/m, z2.s 65DDA032 fcvtzu z18.d, p0/m, z1.s 65DDB76A fcvtzu z10.d, p5/m, z27.s 65DDB9F7 fcvtzu z23.d, p6/m, z15.s 65DDA1A2 fcvtzu z2.d, p0/m, z13.s 65DDBDF0 fcvtzu z16.d, p7/m, z15.s 65DDB922 fcvtzu z2.d, p6/m, z9.s 65DDA296 fcvtzu z22.d, p0/m, z20.s 65DDA33F fcvtzu z31.d, p0/m, z25.s 65DDA52A fcvtzu z10.d, p1/m, z9.s 65DDBE6D fcvtzu z13.d, p7/m, z19.s 65DDAA0A fcvtzu z10.d, p2/m, z16.s 65DDA999 fcvtzu z25.d, p2/m, z12.s 65DDB65A fcvtzu z26.d, p5/m, z18.s 65DDB782 fcvtzu z2.d, p5/m, z28.s // fdiv_z_p_zz_ 01100101xx001101100xxxxxxxxxxxxx 658D89BC fdiv z28.s, p2/m, z28.s, z13.s 654D970C fdiv z12.h, p5/m, z12.h, z24.h 65CD86B6 fdiv z22.d, p1/m, z22.d, z21.d 65CD88F3 fdiv z19.d, p2/m, z19.d, z7.d 65CD9F70 fdiv z16.d, p7/m, z16.d, z27.d 65CD8482 fdiv z2.d, p1/m, z2.d, z4.d 65CD87E2 fdiv z2.d, p1/m, z2.d, z31.d 658D9A62 fdiv z2.s, p6/m, z2.s, z19.s 658D984D fdiv z13.s, p6/m, z13.s, z2.s 654D8555 fdiv z21.h, p1/m, z21.h, z10.h 654D9883 fdiv z3.h, p6/m, z3.h, z4.h 658D8D1D fdiv z29.s, p3/m, z29.s, z8.s 654D810C fdiv z12.h, p0/m, z12.h, z8.h 658D87DE fdiv z30.s, p1/m, z30.s, z30.s 65CD991B fdiv z27.d, p6/m, z27.d, z8.d 658D827D fdiv z29.s, p0/m, z29.s, z19.s // fdivr_z_p_zz_ 01100101xx001100100xxxxxxxxxxxxx 65CC9134 fdivr z20.d, p4/m, z20.d, z9.d 654C9EF2 fdivr z18.h, p7/m, z18.h, z23.h 654C9E3D fdivr z29.h, p7/m, z29.h, z17.h 654C8A2A fdivr z10.h, p2/m, z10.h, z17.h 658C858C fdivr z12.s, p1/m, z12.s, z12.s 65CC9964 fdivr z4.d, p6/m, z4.d, z11.d 658C956F fdivr z15.s, p5/m, z15.s, z11.s 65CC93F6 fdivr z22.d, p4/m, z22.d, z31.d 658C88C8 fdivr z8.s, p2/m, z8.s, z6.s 654C9F59 fdivr z25.h, p7/m, z25.h, z26.h 65CC9BCD fdivr z13.d, p6/m, z13.d, z30.d 658C9526 fdivr z6.s, p5/m, z6.s, z9.s 658C8B74 fdivr z20.s, p2/m, z20.s, z27.s 658C9BDF fdivr z31.s, p6/m, z31.s, z30.s 658C9EA6 fdivr z6.s, p7/m, z6.s, z21.s 654C9208 fdivr z8.h, p4/m, z8.h, z16.h // fexpa_z_z_ 00000100xx100000101110xxxxxxxxxx 0460BAFF fexpa z31.h, z23.h 04E0B8CB fexpa z11.d, z6.d 0460B829 fexpa z9.h, z1.h 0460BA76 fexpa z22.h, z19.h 0460BA32 fexpa z18.h, z17.h 0460B9A6 fexpa z6.h, z13.h 0460B93A fexpa z26.h, z9.h 0460BA2C fexpa z12.h, z17.h 04E0B9F5 fexpa z21.d, z15.d 04E0B949 fexpa z9.d, z10.d 04A0B970 fexpa z16.s, z11.s 0460B890 fexpa z16.h, z4.h 04E0BB7B fexpa z27.d, z27.d 0460BA21 fexpa z1.h, z17.h 04E0B9E4 fexpa z4.d, z15.d 04A0BB38 fexpa z24.s, z25.s // fmad_z_p_zzz_ 01100101xx1xxxxx100xxxxxxxxxxxxx 65F29337 fmad z23.d, p4/m, z25.d, z18.d 65AB885E fmad z30.s, p2/m, z2.s, z11.s 65678690 fmad z16.h, p1/m, z20.h, z7.h 657A8EBF fmad z31.h, p3/m, z21.h, z26.h 65B1820D fmad z13.s, p0/m, z16.s, z17.s 6570841E fmad z30.h, p1/m, z0.h, z16.h 65EA9965 fmad z5.d, p6/m, z11.d, z10.d 657A81F1 fmad z17.h, p0/m, z15.h, z26.h 65F39A45 fmad z5.d, p6/m, z18.d, z19.d 656896DE fmad z30.h, p5/m, z22.h, z8.h 657A8293 fmad z19.h, p0/m, z20.h, z26.h 65A39479 fmad z25.s, p5/m, z3.s, z3.s 65748E7E fmad z30.h, p3/m, z19.h, z20.h 65E18DFF fmad z31.d, p3/m, z15.d, z1.d 65ED84EF fmad z15.d, p1/m, z7.d, z13.d 65E59402 fmad z2.d, p5/m, z0.d, z5.d // fmax_z_p_zs_ 01100101xx011110100xxx0000xxxxxx 659E8C2F fmax z15.s, p3/m, z15.s, #1.0 65DE9015 fmax z21.d, p4/m, z21.d, #0.0 65DE8423 fmax z3.d, p1/m, z3.d, #1.0 65DE9811 fmax z17.d, p6/m, z17.d, #0.0 659E842E fmax z14.s, p1/m, z14.s, #1.0 65DE8C31 fmax z17.d, p3/m, z17.d, #1.0 65DE9C05 fmax z5.d, p7/m, z5.d, #0.0 65DE9C07 fmax z7.d, p7/m, z7.d, #0.0 659E841E fmax z30.s, p1/m, z30.s, #0.0 65DE8810 fmax z16.d, p2/m, z16.d, #0.0 65DE9000 fmax z0.d, p4/m, z0.d, #0.0 655E841D fmax z29.h, p1/m, z29.h, #0.0 65DE880D fmax z13.d, p2/m, z13.d, #0.0 65DE8828 fmax z8.d, p2/m, z8.d, #1.0 65DE881B fmax z27.d, p2/m, z27.d, #0.0 65DE9C21 fmax z1.d, p7/m, z1.d, #1.0 // fmax_z_p_zz_ 01100101xx000110100xxxxxxxxxxxxx 658682C0 fmax z0.s, p0/m, z0.s, z22.s 658697C0 fmax z0.s, p5/m, z0.s, z30.s 65C684D2 fmax z18.d, p1/m, z18.d, z6.d 65C68673 fmax z19.d, p1/m, z19.d, z19.d 65869918 fmax z24.s, p6/m, z24.s, z8.s 65868A55 fmax z21.s, p2/m, z21.s, z18.s 658698BA fmax z26.s, p6/m, z26.s, z5.s 65C69A5C fmax z28.d, p6/m, z28.d, z18.d 65868EF8 fmax z24.s, p3/m, z24.s, z23.s 65469ED3 fmax z19.h, p7/m, z19.h, z22.h 65868BB5 fmax z21.s, p2/m, z21.s, z29.s 65C68F60 fmax z0.d, p3/m, z0.d, z27.d 654688CC fmax z12.h, p2/m, z12.h, z6.h 65C681F3 fmax z19.d, p0/m, z19.d, z15.d 65C69704 fmax z4.d, p5/m, z4.d, z24.d 6586881E fmax z30.s, p2/m, z30.s, z0.s // fmaxnm_z_p_zs_ 01100101xx011100100xxx0000xxxxxx 655C8C03 fmaxnm z3.h, p3/m, z3.h, #0.0 655C903E fmaxnm z30.h, p4/m, z30.h, #1.0 659C9034 fmaxnm z20.s, p4/m, z20.s, #1.0 655C803E fmaxnm z30.h, p0/m, z30.h, #1.0 65DC9019 fmaxnm z25.d, p4/m, z25.d, #0.0 655C802E fmaxnm z14.h, p0/m, z14.h, #1.0 655C9038 fmaxnm z24.h, p4/m, z24.h, #1.0 65DC9C36 fmaxnm z22.d, p7/m, z22.d, #1.0 65DC8800 fmaxnm z0.d, p2/m, z0.d, #0.0 655C942E fmaxnm z14.h, p5/m, z14.h, #1.0 655C8028 fmaxnm z8.h, p0/m, z8.h, #1.0 655C9816 fmaxnm z22.h, p6/m, z22.h, #0.0 655C9808 fmaxnm z8.h, p6/m, z8.h, #0.0 65DC9C1C fmaxnm z28.d, p7/m, z28.d, #0.0 655C8834 fmaxnm z20.h, p2/m, z20.h, #1.0 655C8C2C fmaxnm z12.h, p3/m, z12.h, #1.0 // fmaxnm_z_p_zz_ 01100101xx000100100xxxxxxxxxxxxx 65449C5A fmaxnm z26.h, p7/m, z26.h, z2.h 658493DA fmaxnm z26.s, p4/m, z26.s, z30.s 65448B67 fmaxnm z7.h, p2/m, z7.h, z27.h 65C4909C fmaxnm z28.d, p4/m, z28.d, z4.d 658496E1 fmaxnm z1.s, p5/m, z1.s, z23.s 65C48B8F fmaxnm z15.d, p2/m, z15.d, z28.d 65449C89 fmaxnm z9.h, p7/m, z9.h, z4.h 65449BED fmaxnm z13.h, p6/m, z13.h, z31.h 65C48061 fmaxnm z1.d, p0/m, z1.d, z3.d 65C48253 fmaxnm z19.d, p0/m, z19.d, z18.d 65C4931F fmaxnm z31.d, p4/m, z31.d, z24.d 65849B83 fmaxnm z3.s, p6/m, z3.s, z28.s 65449AEC fmaxnm z12.h, p6/m, z12.h, z23.h 65848C4F fmaxnm z15.s, p3/m, z15.s, z2.s 65448026 fmaxnm z6.h, p0/m, z6.h, z1.h 65449810 fmaxnm z16.h, p6/m, z16.h, z0.h // fmaxnmv_v_p_z_ 01100101xx000100001xxxxxxxxxxxxx 654425AB fmaxnmv h11, p1, z13.h 65443212 fmaxnmv h18, p4, z16.h 65C42559 fmaxnmv d25, p1, z10.d 6544288E fmaxnmv h14, p2, z4.h 65842459 fmaxnmv s25, p1, z2.s 654437D6 fmaxnmv h22, p5, z30.h 6584204C fmaxnmv s12, p0, z2.s 65C438A7 fmaxnmv d7, p6, z5.d 6544220D fmaxnmv h13, p0, z16.h 65843195 fmaxnmv s21, p4, z12.s 65C42425 fmaxnmv d5, p1, z1.d 65C43555 fmaxnmv d21, p5, z10.d 658428E1 fmaxnmv s1, p2, z7.s 6584366C fmaxnmv s12, p5, z19.s 65C42D9D fmaxnmv d29, p3, z12.d 65842DE8 fmaxnmv s8, p3, z15.s // fmaxv_v_p_z_ 01100101xx000110001xxxxxxxxxxxxx 65863A14 fmaxv s20, p6, z16.s 65C62C9C fmaxv d28, p3, z4.d 65862F8D fmaxv s13, p3, z28.s 65863898 fmaxv s24, p6, z4.s 654629CD fmaxv h13, p2, z14.h 65462772 fmaxv h18, p1, z27.h 65C63B90 fmaxv d16, p6, z28.d 65862AEB fmaxv s11, p2, z23.s 65C620B8 fmaxv d24, p0, z5.d 65863093 fmaxv s19, p4, z4.s 65862B9D fmaxv s29, p2, z28.s 6586328B fmaxv s11, p4, z20.s 65463C93 fmaxv h19, p7, z4.h 65862ED9 fmaxv s25, p3, z22.s 65462A50 fmaxv h16, p2, z18.h 65462EAA fmaxv h10, p3, z21.h // fmin_z_p_zs_ 01100101xx011111100xxx0000xxxxxx 65DF800C fmin z12.d, p0/m, z12.d, #0.0 659F9426 fmin z6.s, p5/m, z6.s, #1.0 65DF9C35 fmin z21.d, p7/m, z21.d, #1.0 655F841C fmin z28.h, p1/m, z28.h, #0.0 65DF9825 fmin z5.d, p6/m, z5.d, #1.0 65DF8837 fmin z23.d, p2/m, z23.d, #1.0 65DF8C20 fmin z0.d, p3/m, z0.d, #1.0 655F9811 fmin z17.h, p6/m, z17.h, #0.0 655F8C3B fmin z27.h, p3/m, z27.h, #1.0 655F9038 fmin z24.h, p4/m, z24.h, #1.0 655F9403 fmin z3.h, p5/m, z3.h, #0.0 65DF8000 fmin z0.d, p0/m, z0.d, #0.0 655F9C24 fmin z4.h, p7/m, z4.h, #1.0 655F8C18 fmin z24.h, p3/m, z24.h, #0.0 65DF901A fmin z26.d, p4/m, z26.d, #0.0 655F940D fmin z13.h, p5/m, z13.h, #0.0 // fmin_z_p_zz_ 01100101xx000111100xxxxxxxxxxxxx 65879D6D fmin z13.s, p7/m, z13.s, z11.s 6587839A fmin z26.s, p0/m, z26.s, z28.s 658798B2 fmin z18.s, p6/m, z18.s, z5.s 65479500 fmin z0.h, p5/m, z0.h, z8.h 658787C1 fmin z1.s, p1/m, z1.s, z30.s 65878FB2 fmin z18.s, p3/m, z18.s, z29.s 6547951D fmin z29.h, p5/m, z29.h, z8.h 65878B71 fmin z17.s, p2/m, z17.s, z27.s 65879EB8 fmin z24.s, p7/m, z24.s, z21.s 65C79D4F fmin z15.d, p7/m, z15.d, z10.d 65478229 fmin z9.h, p0/m, z9.h, z17.h 65478119 fmin z25.h, p0/m, z25.h, z8.h 65879CBC fmin z28.s, p7/m, z28.s, z5.s 65878C1D fmin z29.s, p3/m, z29.s, z0.s 65479DEF fmin z15.h, p7/m, z15.h, z15.h 65C79BCE fmin z14.d, p6/m, z14.d, z30.d // fminnm_z_p_zs_ 01100101xx011101100xxx0000xxxxxx 65DD980D fminnm z13.d, p6/m, z13.d, #0.0 655D9C39 fminnm z25.h, p7/m, z25.h, #1.0 65DD9030 fminnm z16.d, p4/m, z16.d, #1.0 655D902E fminnm z14.h, p4/m, z14.h, #1.0 65DD8036 fminnm z22.d, p0/m, z22.d, #1.0 659D840B fminnm z11.s, p1/m, z11.s, #0.0 655D9C3B fminnm z27.h, p7/m, z27.h, #1.0 65DD9C0A fminnm z10.d, p7/m, z10.d, #0.0 655D942C fminnm z12.h, p5/m, z12.h, #1.0 65DD8028 fminnm z8.d, p0/m, z8.d, #1.0 65DD9C21 fminnm z1.d, p7/m, z1.d, #1.0 659D9C3E fminnm z30.s, p7/m, z30.s, #1.0 659D8C11 fminnm z17.s, p3/m, z17.s, #0.0 65DD901E fminnm z30.d, p4/m, z30.d, #0.0 65DD8805 fminnm z5.d, p2/m, z5.d, #0.0 659D9822 fminnm z2.s, p6/m, z2.s, #1.0 // fminnm_z_p_zz_ 01100101xx000101100xxxxxxxxxxxxx 6545813C fminnm z28.h, p0/m, z28.h, z9.h 65C586ED fminnm z13.d, p1/m, z13.d, z23.d 658590BA fminnm z26.s, p4/m, z26.s, z5.s 65C58A7F fminnm z31.d, p2/m, z31.d, z19.d 65C599F5 fminnm z21.d, p6/m, z21.d, z15.d 654597BC fminnm z28.h, p5/m, z28.h, z29.h 65C593E4 fminnm z4.d, p4/m, z4.d, z31.d 65C580E5 fminnm z5.d, p0/m, z5.d, z7.d 65858463 fminnm z3.s, p1/m, z3.s, z3.s 65C5828A fminnm z10.d, p0/m, z10.d, z20.d 65458319 fminnm z25.h, p0/m, z25.h, z24.h 65C59206 fminnm z6.d, p4/m, z6.d, z16.d 65C592ED fminnm z13.d, p4/m, z13.d, z23.d 65C58BFA fminnm z26.d, p2/m, z26.d, z31.d 65859737 fminnm z23.s, p5/m, z23.s, z25.s 65859C24 fminnm z4.s, p7/m, z4.s, z1.s // fminnmv_v_p_z_ 01100101xx000101001xxxxxxxxxxxxx 65C52454 fminnmv d20, p1, z2.d 65C52B1C fminnmv d28, p2, z24.d 6545354E fminnmv h14, p5, z10.h 65C5225C fminnmv d28, p0, z18.d 654539B2 fminnmv h18, p6, z13.h 65C52F25 fminnmv d5, p3, z25.d 65C52EE5 fminnmv d5, p3, z23.d 658520AD fminnmv s13, p0, z5.s 65C537B0 fminnmv d16, p5, z29.d 654523B0 fminnmv h16, p0, z29.h 658534FA fminnmv s26, p5, z7.s 65C52324 fminnmv d4, p0, z25.d 65452F07 fminnmv h7, p3, z24.h 65853F14 fminnmv s20, p7, z24.s 65852E99 fminnmv s25, p3, z20.s 658537F9 fminnmv s25, p5, z31.s // fminv_v_p_z_ 01100101xx000111001xxxxxxxxxxxxx 6587205C fminv s28, p0, z2.s 654720A0 fminv h0, p0, z5.h 65C72EF2 fminv d18, p3, z23.d 65473B5A fminv h26, p6, z26.h 65C738FA fminv d26, p6, z7.d 65873403 fminv s3, p5, z0.s 65873F55 fminv s21, p7, z26.s 65872E5B fminv s27, p3, z18.s 65C739BF fminv d31, p6, z13.d 65873706 fminv s6, p5, z24.s 658732F0 fminv s16, p4, z23.s 65873E00 fminv s0, p7, z16.s 654739C2 fminv h2, p6, z14.h 65873A67 fminv s7, p6, z19.s 65C73E8E fminv d14, p7, z20.d 65C73EF8 fminv d24, p7, z23.d // fmla_z_p_zzz_ 01100101xx1xxxxx000xxxxxxxxxxxxx 65AD10BC fmla z28.s, p4/m, z5.s, z13.s 657F1B70 fmla z16.h, p6/m, z27.h, z31.h 65EF032D fmla z13.d, p0/m, z25.d, z15.d 656008EA fmla z10.h, p2/m, z7.h, z0.h 657E162C fmla z12.h, p5/m, z17.h, z30.h 65E0088B fmla z11.d, p2/m, z4.d, z0.d 65FB1D0F fmla z15.d, p7/m, z8.d, z27.d 65F80525 fmla z5.d, p1/m, z9.d, z24.d 65BC01FD fmla z29.s, p0/m, z15.s, z28.s 65F90C06 fmla z6.d, p3/m, z0.d, z25.d 65770BB9 fmla z25.h, p2/m, z29.h, z23.h 657A1235 fmla z21.h, p4/m, z17.h, z26.h 65F21456 fmla z22.d, p5/m, z2.d, z18.d 65650E80 fmla z0.h, p3/m, z20.h, z5.h 65E5030E fmla z14.d, p0/m, z24.d, z5.d 65AF030B fmla z11.s, p0/m, z24.s, z15.s // fmla_z_zzzi_d 01100100111xxxxx000000xxxxxxxxxx 64F7004B fmla z11.d, z2.d, z7.d[1] 64EE0357 fmla z23.d, z26.d, z14.d[0] 64F30142 fmla z2.d, z10.d, z3.d[1] 64E70205 fmla z5.d, z16.d, z7.d[0] 64E7006C fmla z12.d, z3.d, z7.d[0] 64F300AF fmla z15.d, z5.d, z3.d[1] 64F60386 fmla z6.d, z28.d, z6.d[1] 64E203A9 fmla z9.d, z29.d, z2.d[0] 64EF0091 fmla z17.d, z4.d, z15.d[0] 64F8026C fmla z12.d, z19.d, z8.d[1] 64F10391 fmla z17.d, z28.d, z1.d[1] 64E6006F fmla z15.d, z3.d, z6.d[0] 64EB02C4 fmla z4.d, z22.d, z11.d[0] 64E6027D fmla z29.d, z19.d, z6.d[0] 64FA0159 fmla z25.d, z10.d, z10.d[1] 64FC014A fmla z10.d, z10.d, z12.d[1] // fmla_z_zzzi_h 011001000x1xxxxx000000xxxxxxxxxx 643402B1 fmla z17.h, z21.h, z4.h[2] 64610273 fmla z19.h, z19.h, z1.h[4] 642601CC fmla z12.h, z14.h, z6.h[0] 647C0335 fmla z21.h, z25.h, z4.h[7] 64380366 fmla z6.h, z27.h, z0.h[3] 646502C9 fmla z9.h, z22.h, z5.h[4] 64680043 fmla z3.h, z2.h, z0.h[5] 647502AE fmla z14.h, z21.h, z5.h[6] 642400D8 fmla z24.h, z6.h, z4.h[0] 647D0097 fmla z23.h, z4.h, z5.h[7] 642A0221 fmla z1.h, z17.h, z2.h[1] 646A02C0 fmla z0.h, z22.h, z2.h[5] 64640127 fmla z7.h, z9.h, z4.h[4] 643C0170 fmla z16.h, z11.h, z4.h[3] 64220105 fmla z5.h, z8.h, z2.h[0] 642802AD fmla z13.h, z21.h, z0.h[1] // fmla_z_zzzi_s 01100100101xxxxx000000xxxxxxxxxx 64AD01C2 fmla z2.s, z14.s, z5.s[1] 64AC025A fmla z26.s, z18.s, z4.s[1] 64BD000C fmla z12.s, z0.s, z5.s[3] 64AE0013 fmla z19.s, z0.s, z6.s[1] 64BB0276 fmla z22.s, z19.s, z3.s[3] 64BE0327 fmla z7.s, z25.s, z6.s[3] 64B40366 fmla z6.s, z27.s, z4.s[2] 64A300F0 fmla z16.s, z7.s, z3.s[0] 64A403F8 fmla z24.s, z31.s, z4.s[0] 64A60337 fmla z23.s, z25.s, z6.s[0] 64A9031B fmla z27.s, z24.s, z1.s[1] 64BE014A fmla z10.s, z10.s, z6.s[3] 64BA03EE fmla z14.s, z31.s, z2.s[3] 64AB00CD fmla z13.s, z6.s, z3.s[1] 64BD011E fmla z30.s, z8.s, z5.s[3] 64B503A1 fmla z1.s, z29.s, z5.s[2] // fmls_z_p_zzz_ 01100101xx1xxxxx001xxxxxxxxxxxxx 65B826B4 fmls z20.s, p1/m, z21.s, z24.s 65A22A23 fmls z3.s, p2/m, z17.s, z2.s 6564249E fmls z30.h, p1/m, z4.h, z4.h 65693CD0 fmls z16.h, p7/m, z6.h, z9.h 65632570 fmls z16.h, p1/m, z11.h, z3.h 65762545 fmls z5.h, p1/m, z10.h, z22.h 656F2C1F fmls z31.h, p3/m, z0.h, z15.h 657C254D fmls z13.h, p1/m, z10.h, z28.h 65602FBE fmls z30.h, p3/m, z29.h, z0.h 6575397C fmls z28.h, p6/m, z11.h, z21.h 65EC3C30 fmls z16.d, p7/m, z1.d, z12.d 65F238DB fmls z27.d, p6/m, z6.d, z18.d 65BB3D32 fmls z18.s, p7/m, z9.s, z27.s 65EA3DF7 fmls z23.d, p7/m, z15.d, z10.d 65692D48 fmls z8.h, p3/m, z10.h, z9.h 65632562 fmls z2.h, p1/m, z11.h, z3.h // fmls_z_zzzi_d 01100100111xxxxx000001xxxxxxxxxx 64E005FD fmls z29.d, z15.d, z0.d[0] 64FF075D fmls z29.d, z26.d, z15.d[1] 64ED07B6 fmls z22.d, z29.d, z13.d[0] 64E807A0 fmls z0.d, z29.d, z8.d[0] 64F4067D fmls z29.d, z19.d, z4.d[1] 64FD048B fmls z11.d, z4.d, z13.d[1] 64E20480 fmls z0.d, z4.d, z2.d[0] 64FF06CF fmls z15.d, z22.d, z15.d[1] 64E706D1 fmls z17.d, z22.d, z7.d[0] 64F90414 fmls z20.d, z0.d, z9.d[1] 64F005F3 fmls z19.d, z15.d, z0.d[1] 64FC040C fmls z12.d, z0.d, z12.d[1] 64EA0655 fmls z21.d, z18.d, z10.d[0] 64F20541 fmls z1.d, z10.d, z2.d[1] 64F805B7 fmls z23.d, z13.d, z8.d[1] 64E7071B fmls z27.d, z24.d, z7.d[0] // fmls_z_zzzi_h 011001000x1xxxxx000001xxxxxxxxxx 64340668 fmls z8.h, z19.h, z4.h[2] 6428075E fmls z30.h, z26.h, z0.h[1] 643807A3 fmls z3.h, z29.h, z0.h[3] 64650446 fmls z6.h, z2.h, z5.h[4] 643A07B3 fmls z19.h, z29.h, z2.h[3] 64710644 fmls z4.h, z18.h, z1.h[6] 64620439 fmls z25.h, z1.h, z2.h[4] 6467064D fmls z13.h, z18.h, z7.h[4] 646304ED fmls z13.h, z7.h, z3.h[4] 64640499 fmls z25.h, z4.h, z4.h[4] 646D071D fmls z29.h, z24.h, z5.h[5] 643804A0 fmls z0.h, z5.h, z0.h[3] 64380405 fmls z5.h, z0.h, z0.h[3] 647206E5 fmls z5.h, z23.h, z2.h[6] 6469045F fmls z31.h, z2.h, z1.h[5] 647707FF fmls z31.h, z31.h, z7.h[6] // fmls_z_zzzi_s 01100100101xxxxx000001xxxxxxxxxx 64AE0623 fmls z3.s, z17.s, z6.s[1] 64B406AD fmls z13.s, z21.s, z4.s[2] 64AF050B fmls z11.s, z8.s, z7.s[1] 64B20401 fmls z1.s, z0.s, z2.s[2] 64A807CC fmls z12.s, z30.s, z0.s[1] 64A50604 fmls z4.s, z16.s, z5.s[0] 64BC05E8 fmls z8.s, z15.s, z4.s[3] 64AF0554 fmls z20.s, z10.s, z7.s[1] 64B207CA fmls z10.s, z30.s, z2.s[2] 64B2063F fmls z31.s, z17.s, z2.s[2] 64AE0641 fmls z1.s, z18.s, z6.s[1] 64AB0673 fmls z19.s, z19.s, z3.s[1] 64B30428 fmls z8.s, z1.s, z3.s[2] 64BE065D fmls z29.s, z18.s, z6.s[3] 64A207CD fmls z13.s, z30.s, z2.s[0] 64B3041A fmls z26.s, z0.s, z3.s[2] // fmmla_z_zzz_d 01100100111xxxxx111001xxxxxxxxxx // fmmla_z_zzz_s 01100100101xxxxx111001xxxxxxxxxx // fmsb_z_p_zzz_ 01100101xx1xxxxx101xxxxxxxxxxxxx 657BAD5C fmsb z28.h, p3/m, z10.h, z27.h 65BAB972 fmsb z18.s, p6/m, z11.s, z26.s 65B0BD71 fmsb z17.s, p7/m, z11.s, z16.s 65EAAC23 fmsb z3.d, p3/m, z1.d, z10.d 65A8BC69 fmsb z9.s, p7/m, z3.s, z8.s 657CA9D9 fmsb z25.h, p2/m, z14.h, z28.h 65B6A6A8 fmsb z8.s, p1/m, z21.s, z22.s 65A5A109 fmsb z9.s, p0/m, z8.s, z5.s 6569B377 fmsb z23.h, p4/m, z27.h, z9.h 6563B947 fmsb z7.h, p6/m, z10.h, z3.h 6563B9D3 fmsb z19.h, p6/m, z14.h, z3.h 6569B845 fmsb z5.h, p6/m, z2.h, z9.h 65FCAE8E fmsb z14.d, p3/m, z20.d, z28.d 657EA96A fmsb z10.h, p2/m, z11.h, z30.h 6567BA94 fmsb z20.h, p6/m, z20.h, z7.h 65A6A4B6 fmsb z22.s, p1/m, z5.s, z6.s // fmul_z_p_zs_ 01100101xx011010100xxx0000xxxxxx 655A9414 fmul z20.h, p5/m, z20.h, #0.5 655A8C27 fmul z7.h, p3/m, z7.h, #2.0 65DA9C2F fmul z15.d, p7/m, z15.d, #2.0 659A880E fmul z14.s, p2/m, z14.s, #0.5 659A8818 fmul z24.s, p2/m, z24.s, #0.5 659A8813 fmul z19.s, p2/m, z19.s, #0.5 659A8C34 fmul z20.s, p3/m, z20.s, #2.0 65DA982E fmul z14.d, p6/m, z14.d, #2.0 655A9C27 fmul z7.h, p7/m, z7.h, #2.0 655A9C2B fmul z11.h, p7/m, z11.h, #2.0 655A943E fmul z30.h, p5/m, z30.h, #2.0 655A8C09 fmul z9.h, p3/m, z9.h, #0.5 659A9C08 fmul z8.s, p7/m, z8.s, #0.5 659A8C3F fmul z31.s, p3/m, z31.s, #2.0 65DA9C0D fmul z13.d, p7/m, z13.d, #0.5 659A943E fmul z30.s, p5/m, z30.s, #2.0 // fmul_z_p_zz_ 01100101xx000010100xxxxxxxxxxxxx 65C29768 fmul z8.d, p5/m, z8.d, z27.d 65C28472 fmul z18.d, p1/m, z18.d, z3.d 658288AB fmul z11.s, p2/m, z11.s, z5.s 6582895B fmul z27.s, p2/m, z27.s, z10.s 65C2848F fmul z15.d, p1/m, z15.d, z4.d 65429F6C fmul z12.h, p7/m, z12.h, z27.h 65C2971E fmul z30.d, p5/m, z30.d, z24.d 65C28EBF fmul z31.d, p3/m, z31.d, z21.d 6582907A fmul z26.s, p4/m, z26.s, z3.s 65429421 fmul z1.h, p5/m, z1.h, z1.h 65428512 fmul z18.h, p1/m, z18.h, z8.h 6582991B fmul z27.s, p6/m, z27.s, z8.s 658298D6 fmul z22.s, p6/m, z22.s, z6.s 65C29223 fmul z3.d, p4/m, z3.d, z17.d 65428DCF fmul z15.h, p3/m, z15.h, z14.h 65828871 fmul z17.s, p2/m, z17.s, z3.s // fmul_z_zz_ 01100101xx0xxxxx000010xxxxxxxxxx 65CE09F5 fmul z21.d, z15.d, z14.d 658E0A67 fmul z7.s, z19.s, z14.s 65990976 fmul z22.s, z11.s, z25.s 65D60B6D fmul z13.d, z27.d, z22.d 65CB0B2B fmul z11.d, z25.d, z11.d 65DC0993 fmul z19.d, z12.d, z28.d 659D0969 fmul z9.s, z11.s, z29.s 654809F2 fmul z18.h, z15.h, z8.h 658709E6 fmul z6.s, z15.s, z7.s 659508B4 fmul z20.s, z5.s, z21.s 65DD08C3 fmul z3.d, z6.d, z29.d 65870B6B fmul z11.s, z27.s, z7.s 65C90B32 fmul z18.d, z25.d, z9.d 655108AB fmul z11.h, z5.h, z17.h 65D609DB fmul z27.d, z14.d, z22.d 654E082C fmul z12.h, z1.h, z14.h // fmul_z_zzi_d 01100100111xxxxx001000xxxxxxxxxx 64F323C5 fmul z5.d, z30.d, z3.d[1] 64E123C4 fmul z4.d, z30.d, z1.d[0] 64F722B2 fmul z18.d, z21.d, z7.d[1] 64E22314 fmul z20.d, z24.d, z2.d[0] 64EE23C2 fmul z2.d, z30.d, z14.d[0] 64E1213F fmul z31.d, z9.d, z1.d[0] 64F323B8 fmul z24.d, z29.d, z3.d[1] 64F42210 fmul z16.d, z16.d, z4.d[1] 64EF22C9 fmul z9.d, z22.d, z15.d[0] 64FA21B8 fmul z24.d, z13.d, z10.d[1] 64E22302 fmul z2.d, z24.d, z2.d[0] 64FA20B8 fmul z24.d, z5.d, z10.d[1] 64F92346 fmul z6.d, z26.d, z9.d[1] 64E923DA fmul z26.d, z30.d, z9.d[0] 64FF20EA fmul z10.d, z7.d, z15.d[1] 64EE202D fmul z13.d, z1.d, z14.d[0] // fmul_z_zzi_h 011001000x1xxxxx001000xxxxxxxxxx 642B21E2 fmul z2.h, z15.h, z3.h[1] 647B201B fmul z27.h, z0.h, z3.h[7] 64652157 fmul z23.h, z10.h, z5.h[4] 6436234D fmul z13.h, z26.h, z6.h[2] 642720E3 fmul z3.h, z7.h, z7.h[0] 647A2130 fmul z16.h, z9.h, z2.h[7] 643520D6 fmul z22.h, z6.h, z5.h[2] 64322053 fmul z19.h, z2.h, z2.h[2] 646623B3 fmul z19.h, z29.h, z6.h[4] 646523F4 fmul z20.h, z31.h, z5.h[4] 6420208C fmul z12.h, z4.h, z0.h[0] 647121F0 fmul z16.h, z15.h, z1.h[6] 646B20D3 fmul z19.h, z6.h, z3.h[5] 643E2254 fmul z20.h, z18.h, z6.h[3] 643B2373 fmul z19.h, z27.h, z3.h[3] 646A20E5 fmul z5.h, z7.h, z2.h[5] // fmul_z_zzi_s 01100100101xxxxx001000xxxxxxxxxx 64BE22F4 fmul z20.s, z23.s, z6.s[3] 64B2221E fmul z30.s, z16.s, z2.s[2] 64B021F1 fmul z17.s, z15.s, z0.s[2] 64B723C5 fmul z5.s, z30.s, z7.s[2] 64BC23F5 fmul z21.s, z31.s, z4.s[3] 64B32255 fmul z21.s, z18.s, z3.s[2] 64A82180 fmul z0.s, z12.s, z0.s[1] 64BF23AC fmul z12.s, z29.s, z7.s[3] 64A02166 fmul z6.s, z11.s, z0.s[0] 64B02077 fmul z23.s, z3.s, z0.s[2] 64B32369 fmul z9.s, z27.s, z3.s[2] 64B62044 fmul z4.s, z2.s, z6.s[2] 64B520FB fmul z27.s, z7.s, z5.s[2] 64B92038 fmul z24.s, z1.s, z1.s[3] 64BB213A fmul z26.s, z9.s, z3.s[3] 64B121C1 fmul z1.s, z14.s, z1.s[2] // fmulx_z_p_zz_ 01100101xx001010100xxxxxxxxxxxxx 654A9618 fmulx z24.h, p5/m, z24.h, z16.h 65CA87D6 fmulx z22.d, p1/m, z22.d, z30.d 65CA949B fmulx z27.d, p5/m, z27.d, z4.d 658A90CF fmulx z15.s, p4/m, z15.s, z6.s 658A9D65 fmulx z5.s, p7/m, z5.s, z11.s 654A889E fmulx z30.h, p2/m, z30.h, z4.h 658A9CA0 fmulx z0.s, p7/m, z0.s, z5.s 654A8D99 fmulx z25.h, p3/m, z25.h, z12.h 65CA881B fmulx z27.d, p2/m, z27.d, z0.d 658A8BB3 fmulx z19.s, p2/m, z19.s, z29.s 654A8106 fmulx z6.h, p0/m, z6.h, z8.h 654A943E fmulx z30.h, p5/m, z30.h, z1.h 654A9DFC fmulx z28.h, p7/m, z28.h, z15.h 654A9BA3 fmulx z3.h, p6/m, z3.h, z29.h 65CA8E17 fmulx z23.d, p3/m, z23.d, z16.d 65CA8421 fmulx z1.d, p1/m, z1.d, z1.d // fneg_z_p_z_ 00000100xx011101101xxxxxxxxxxxxx 045DA319 fneg z25.h, p0/m, z24.h 045DAB87 fneg z7.h, p2/m, z28.h 049DB244 fneg z4.s, p4/m, z18.s 045DAAB3 fneg z19.h, p2/m, z21.h 049DA614 fneg z20.s, p1/m, z16.s 045DAE16 fneg z22.h, p3/m, z16.h 049DA6E0 fneg z0.s, p1/m, z23.s 045DB186 fneg z6.h, p4/m, z12.h 049DAE68 fneg z8.s, p3/m, z19.s 045DB843 fneg z3.h, p6/m, z2.h 04DDB258 fneg z24.d, p4/m, z18.d 045DBB5F fneg z31.h, p6/m, z26.h 049DABED fneg z13.s, p2/m, z31.s 049DB564 fneg z4.s, p5/m, z11.s 049DB02A fneg z10.s, p4/m, z1.s 049DAADA fneg z26.s, p2/m, z22.s // fnmad_z_p_zzz_ 01100101xx1xxxxx110xxxxxxxxxxxxx 657DCC63 fnmad z3.h, p3/m, z3.h, z29.h 657FCA5D fnmad z29.h, p2/m, z18.h, z31.h 65F5D29C fnmad z28.d, p4/m, z20.d, z21.d 657AD2E0 fnmad z0.h, p4/m, z23.h, z26.h 65EBCC89 fnmad z9.d, p3/m, z4.d, z11.d 65F7C704 fnmad z4.d, p1/m, z24.d, z23.d 6573DA5F fnmad z31.h, p6/m, z18.h, z19.h 65BBCB1A fnmad z26.s, p2/m, z24.s, z27.s 65ABC0BB fnmad z27.s, p0/m, z5.s, z11.s 6562D048 fnmad z8.h, p4/m, z2.h, z2.h 65F5CF09 fnmad z9.d, p3/m, z24.d, z21.d 657BDCA6 fnmad z6.h, p7/m, z5.h, z27.h 65A4CA55 fnmad z21.s, p2/m, z18.s, z4.s 6563D171 fnmad z17.h, p4/m, z11.h, z3.h 6560CCA6 fnmad z6.h, p3/m, z5.h, z0.h 657CC6D9 fnmad z25.h, p1/m, z22.h, z28.h // fnmla_z_p_zzz_ 01100101xx1xxxxx010xxxxxxxxxxxxx 65A84A16 fnmla z22.s, p2/m, z16.s, z8.s 65B346FE fnmla z30.s, p1/m, z23.s, z19.s 656D590D fnmla z13.h, p6/m, z8.h, z13.h 656A5B18 fnmla z24.h, p6/m, z24.h, z10.h 65A55401 fnmla z1.s, p5/m, z0.s, z5.s 65FB50FC fnmla z28.d, p4/m, z7.d, z27.d 6578486D fnmla z13.h, p2/m, z3.h, z24.h 65B341E3 fnmla z3.s, p0/m, z15.s, z19.s 65AD4ADE fnmla z30.s, p2/m, z22.s, z13.s 65724E09 fnmla z9.h, p3/m, z16.h, z18.h 65FA4510 fnmla z16.d, p1/m, z8.d, z26.d 657B4F77 fnmla z23.h, p3/m, z27.h, z27.h 656258E0 fnmla z0.h, p6/m, z7.h, z2.h 65EC43C4 fnmla z4.d, p0/m, z30.d, z12.d 65BE590C fnmla z12.s, p6/m, z8.s, z30.s 65B454D6 fnmla z22.s, p5/m, z6.s, z20.s // fnmls_z_p_zzz_ 01100101xx1xxxxx011xxxxxxxxxxxxx 65626A37 fnmls z23.h, p2/m, z17.h, z2.h 65ED60BC fnmls z28.d, p0/m, z5.d, z13.d 65607579 fnmls z25.h, p5/m, z11.h, z0.h 656D7AE1 fnmls z1.h, p6/m, z23.h, z13.h 65E87949 fnmls z9.d, p6/m, z10.d, z8.d 65FF68EB fnmls z11.d, p2/m, z7.d, z31.d 657D7EB5 fnmls z21.h, p7/m, z21.h, z29.h 65706C07 fnmls z7.h, p3/m, z0.h, z16.h 65687CBB fnmls z27.h, p7/m, z5.h, z8.h 65E56943 fnmls z3.d, p2/m, z10.d, z5.d 65AF795A fnmls z26.s, p6/m, z10.s, z15.s 65647E94 fnmls z20.h, p7/m, z20.h, z4.h 65E068A0 fnmls z0.d, p2/m, z5.d, z0.d 65F779C7 fnmls z7.d, p6/m, z14.d, z23.d 65716844 fnmls z4.h, p2/m, z2.h, z17.h 657A6A13 fnmls z19.h, p2/m, z16.h, z26.h // fnmsb_z_p_zzz_ 01100101xx1xxxxx111xxxxxxxxxxxxx 6573F235 fnmsb z21.h, p4/m, z17.h, z19.h 65A6F6AC fnmsb z12.s, p5/m, z21.s, z6.s 65A8F4E4 fnmsb z4.s, p5/m, z7.s, z8.s 65E0E897 fnmsb z23.d, p2/m, z4.d, z0.d 6569F44D fnmsb z13.h, p5/m, z2.h, z9.h 65F3E63F fnmsb z31.d, p1/m, z17.d, z19.d 65BBFD27 fnmsb z7.s, p7/m, z9.s, z27.s 65E2F5D3 fnmsb z19.d, p5/m, z14.d, z2.d 65E1F6DF fnmsb z31.d, p5/m, z22.d, z1.d 656DED5E fnmsb z30.h, p3/m, z10.h, z13.h 65E4F50E fnmsb z14.d, p5/m, z8.d, z4.d 65EEF16E fnmsb z14.d, p4/m, z11.d, z14.d 656DF86C fnmsb z12.h, p6/m, z3.h, z13.h 65BAE0EE fnmsb z14.s, p0/m, z7.s, z26.s 65AAFC6F fnmsb z15.s, p7/m, z3.s, z10.s 65E9E8F2 fnmsb z18.d, p2/m, z7.d, z9.d // frecpe_z_z_ 01100101xx001110001100xxxxxxxxxx 654E3147 frecpe z7.h, z10.h 654E30C8 frecpe z8.h, z6.h 654E3315 frecpe z21.h, z24.h 658E307D frecpe z29.s, z3.s 654E3206 frecpe z6.h, z16.h 658E33CD frecpe z13.s, z30.s 65CE303C frecpe z28.d, z1.d 65CE316E frecpe z14.d, z11.d 65CE3309 frecpe z9.d, z24.d 65CE33B1 frecpe z17.d, z29.d 65CE3091 frecpe z17.d, z4.d 658E3212 frecpe z18.s, z16.s 658E3361 frecpe z1.s, z27.s 658E300D frecpe z13.s, z0.s 654E30F3 frecpe z19.h, z7.h 658E31C6 frecpe z6.s, z14.s // frecps_z_zz_ 01100101xx0xxxxx000110xxxxxxxxxx 65D61BFB frecps z27.d, z31.d, z22.d 65DF196B frecps z11.d, z11.d, z31.d 654D1A04 frecps z4.h, z16.h, z13.h 65C819D8 frecps z24.d, z14.d, z8.d 65821997 frecps z23.s, z12.s, z2.s 65DC186C frecps z12.d, z3.d, z28.d 6596194F frecps z15.s, z10.s, z22.s 6586192E frecps z14.s, z9.s, z6.s 65CD1866 frecps z6.d, z3.d, z13.d 65411970 frecps z16.h, z11.h, z1.h 65DC1BF2 frecps z18.d, z31.d, z28.d 65D21AA8 frecps z8.d, z21.d, z18.d 65801BC4 frecps z4.s, z30.s, z0.s 65901919 frecps z25.s, z8.s, z16.s 65D31B42 frecps z2.d, z26.d, z19.d 659D1BBD frecps z29.s, z29.s, z29.s // frecpx_z_p_z_ 01100101xx001100101xxxxxxxxxxxxx 658CAB87 frecpx z7.s, p2/m, z28.s 658CB183 frecpx z3.s, p4/m, z12.s 654CBF3F frecpx z31.h, p7/m, z25.h 654CBD33 frecpx z19.h, p7/m, z9.h 65CCADB8 frecpx z24.d, p3/m, z13.d 65CCBA6E frecpx z14.d, p6/m, z19.d 654CAE39 frecpx z25.h, p3/m, z17.h 658CB1EC frecpx z12.s, p4/m, z15.s 654CB3D7 frecpx z23.h, p4/m, z30.h 658CA1B4 frecpx z20.s, p0/m, z13.s 654CBA82 frecpx z2.h, p6/m, z20.h 658CAF0D frecpx z13.s, p3/m, z24.s 65CCA92A frecpx z10.d, p2/m, z9.d 65CCB04D frecpx z13.d, p4/m, z2.d 654CBC62 frecpx z2.h, p7/m, z3.h 658CB20F frecpx z15.s, p4/m, z16.s // frinta_z_p_z_ 01100101xx000100101xxxxxxxxxxxxx 6544ACA6 frinta z6.h, p3/m, z5.h 6584A6C3 frinta z3.s, p1/m, z22.s 6584A4B3 frinta z19.s, p1/m, z5.s 6544B8CF frinta z15.h, p6/m, z6.h 65C4A17B frinta z27.d, p0/m, z11.d 6584A44D frinta z13.s, p1/m, z2.s 6584A3B9 frinta z25.s, p0/m, z29.s 6544BEC1 frinta z1.h, p7/m, z22.h 65C4B7AC frinta z12.d, p5/m, z29.d 65C4ABF9 frinta z25.d, p2/m, z31.d 6544B77E frinta z30.h, p5/m, z27.h 6544AAC3 frinta z3.h, p2/m, z22.h 6584AE35 frinta z21.s, p3/m, z17.s 6544A868 frinta z8.h, p2/m, z3.h 6584AAAC frinta z12.s, p2/m, z21.s 6544AA0B frinta z11.h, p2/m, z16.h // frinti_z_p_z_ 01100101xx000111101xxxxxxxxxxxxx 6547B3B0 frinti z16.h, p4/m, z29.h 65C7B96F frinti z15.d, p6/m, z11.d 6547AC8C frinti z12.h, p3/m, z4.h 6587B248 frinti z8.s, p4/m, z18.s 6587B076 frinti z22.s, p4/m, z3.s 6547A909 frinti z9.h, p2/m, z8.h 6587B7C6 frinti z6.s, p5/m, z30.s 65C7A4E6 frinti z6.d, p1/m, z7.d 65C7A174 frinti z20.d, p0/m, z11.d 65C7AE1F frinti z31.d, p3/m, z16.d 65C7BFD1 frinti z17.d, p7/m, z30.d 6587BCF9 frinti z25.s, p7/m, z7.s 6587A6D5 frinti z21.s, p1/m, z22.s 6587A435 frinti z21.s, p1/m, z1.s 65C7A73D frinti z29.d, p1/m, z25.d 6547B66A frinti z10.h, p5/m, z19.h // frintm_z_p_z_ 01100101xx000010101xxxxxxxxxxxxx 6542AA58 frintm z24.h, p2/m, z18.h 6542B1EB frintm z11.h, p4/m, z15.h 6582B9A7 frintm z7.s, p6/m, z13.s 6582ABFA frintm z26.s, p2/m, z31.s 65C2A810 frintm z16.d, p2/m, z0.d 65C2A495 frintm z21.d, p1/m, z4.d 6542A1F0 frintm z16.h, p0/m, z15.h 6582B4BB frintm z27.s, p5/m, z5.s 65C2A2A9 frintm z9.d, p0/m, z21.d 65C2B7DD frintm z29.d, p5/m, z30.d 6542A8FF frintm z31.h, p2/m, z7.h 6542A135 frintm z21.h, p0/m, z9.h 65C2A5EA frintm z10.d, p1/m, z15.d 65C2A2D0 frintm z16.d, p0/m, z22.d 65C2AE4A frintm z10.d, p3/m, z18.d 65C2BA2D frintm z13.d, p6/m, z17.d // frintn_z_p_z_ 01100101xx000000101xxxxxxxxxxxxx 6580B494 frintn z20.s, p5/m, z4.s 6580BE7D frintn z29.s, p7/m, z19.s 6540B7E4 frintn z4.h, p5/m, z31.h 65C0A5D1 frintn z17.d, p1/m, z14.d 6580A869 frintn z9.s, p2/m, z3.s 65C0BF81 frintn z1.d, p7/m, z28.d 6580BD61 frintn z1.s, p7/m, z11.s 65C0AB75 frintn z21.d, p2/m, z27.d 65C0A65F frintn z31.d, p1/m, z18.d 6580B8E4 frintn z4.s, p6/m, z7.s 65C0B5D8 frintn z24.d, p5/m, z14.d 6540B6AA frintn z10.h, p5/m, z21.h 6540B5B8 frintn z24.h, p5/m, z13.h 6580AA50 frintn z16.s, p2/m, z18.s 6580A0D6 frintn z22.s, p0/m, z6.s 65C0B615 frintn z21.d, p5/m, z16.d // frintp_z_p_z_ 01100101xx000001101xxxxxxxxxxxxx 6581BEFF frintp z31.s, p7/m, z23.s 6581AE40 frintp z0.s, p3/m, z18.s 6581B75A frintp z26.s, p5/m, z26.s 6541BCE3 frintp z3.h, p7/m, z7.h 65C1AC45 frintp z5.d, p3/m, z2.d 6581A016 frintp z22.s, p0/m, z0.s 65C1A51F frintp z31.d, p1/m, z8.d 6541BC06 frintp z6.h, p7/m, z0.h 6541BD1E frintp z30.h, p7/m, z8.h 65C1B97F frintp z31.d, p6/m, z11.d 6581B291 frintp z17.s, p4/m, z20.s 6581AF9E frintp z30.s, p3/m, z28.s 6581B2E3 frintp z3.s, p4/m, z23.s 65C1B31C frintp z28.d, p4/m, z24.d 6581BEC0 frintp z0.s, p7/m, z22.s 6541AA7B frintp z27.h, p2/m, z19.h // frintx_z_p_z_ 01100101xx000110101xxxxxxxxxxxxx 6546BB47 frintx z7.h, p6/m, z26.h 65C6A77E frintx z30.d, p1/m, z27.d 6546B22E frintx z14.h, p4/m, z17.h 6586B1FD frintx z29.s, p4/m, z15.s 65C6BC11 frintx z17.d, p7/m, z0.d 65C6A7E7 frintx z7.d, p1/m, z31.d 65C6BC15 frintx z21.d, p7/m, z0.d 6546BF76 frintx z22.h, p7/m, z27.h 6586A91E frintx z30.s, p2/m, z8.s 6546A258 frintx z24.h, p0/m, z18.h 65C6BB99 frintx z25.d, p6/m, z28.d 6586AFB8 frintx z24.s, p3/m, z29.s 65C6A3EB frintx z11.d, p0/m, z31.d 65C6A33B frintx z27.d, p0/m, z25.d 6546B759 frintx z25.h, p5/m, z26.h 65C6A630 frintx z16.d, p1/m, z17.d // frintz_z_p_z_ 01100101xx000011101xxxxxxxxxxxxx 6583B883 frintz z3.s, p6/m, z4.s 65C3B51B frintz z27.d, p5/m, z8.d 6543B5A4 frintz z4.h, p5/m, z13.h 65C3B2FF frintz z31.d, p4/m, z23.d 65C3BCC8 frintz z8.d, p7/m, z6.d 6583BA20 frintz z0.s, p6/m, z17.s 6583A407 frintz z7.s, p1/m, z0.s 6543BE69 frintz z9.h, p7/m, z19.h 6543B1C5 frintz z5.h, p4/m, z14.h 6543BA0C frintz z12.h, p6/m, z16.h 6583A454 frintz z20.s, p1/m, z2.s 6543AAA2 frintz z2.h, p2/m, z21.h 6543AB4E frintz z14.h, p2/m, z26.h 65C3B4E0 frintz z0.d, p5/m, z7.d 6583A44C frintz z12.s, p1/m, z2.s 6583A76B frintz z11.s, p1/m, z27.s // frsqrte_z_z_ 01100101xx001111001100xxxxxxxxxx 658F3004 frsqrte z4.s, z0.s 654F31F8 frsqrte z24.h, z15.h 654F324D frsqrte z13.h, z18.h 65CF318A frsqrte z10.d, z12.d 658F315F frsqrte z31.s, z10.s 654F3301 frsqrte z1.h, z24.h 658F32B4 frsqrte z20.s, z21.s 658F308D frsqrte z13.s, z4.s 658F33F9 frsqrte z25.s, z31.s 658F338C frsqrte z12.s, z28.s 654F32CB frsqrte z11.h, z22.h 654F3120 frsqrte z0.h, z9.h 654F3257 frsqrte z23.h, z18.h 658F3036 frsqrte z22.s, z1.s 65CF31E4 frsqrte z4.d, z15.d 658F304E frsqrte z14.s, z2.s // frsqrts_z_zz_ 01100101xx0xxxxx000111xxxxxxxxxx 65961D9D frsqrts z29.s, z12.s, z22.s 654A1D84 frsqrts z4.h, z12.h, z10.h 65CA1C71 frsqrts z17.d, z3.d, z10.d 65DA1F10 frsqrts z16.d, z24.d, z26.d 65581F29 frsqrts z9.h, z25.h, z24.h 65811E80 frsqrts z0.s, z20.s, z1.s 65891F6D frsqrts z13.s, z27.s, z9.s 65D31F8D frsqrts z13.d, z28.d, z19.d 65C21F62 frsqrts z2.d, z27.d, z2.d 65941CF0 frsqrts z16.s, z7.s, z20.s 65D71F03 frsqrts z3.d, z24.d, z23.d 65D61F9B frsqrts z27.d, z28.d, z22.d 65CA1CF1 frsqrts z17.d, z7.d, z10.d 654C1F2B frsqrts z11.h, z25.h, z12.h 65C61F5A frsqrts z26.d, z26.d, z6.d 659C1F60 frsqrts z0.s, z27.s, z28.s // fscale_z_p_zz_ 01100101xx001001100xxxxxxxxxxxxx 6549917A fscale z26.h, p4/m, z26.h, z11.h 65498DC9 fscale z9.h, p3/m, z9.h, z14.h 658990A2 fscale z2.s, p4/m, z2.s, z5.s 654996A5 fscale z5.h, p5/m, z5.h, z21.h 65C98B0C fscale z12.d, p2/m, z12.d, z24.d 658989F6 fscale z22.s, p2/m, z22.s, z15.s 65899A60 fscale z0.s, p6/m, z0.s, z19.s 65498172 fscale z18.h, p0/m, z18.h, z11.h 658997AC fscale z12.s, p5/m, z12.s, z29.s 65899227 fscale z7.s, p4/m, z7.s, z17.s 65499B51 fscale z17.h, p6/m, z17.h, z26.h 658995CD fscale z13.s, p5/m, z13.s, z14.s 65899E4A fscale z10.s, p7/m, z10.s, z18.s 65499EF3 fscale z19.h, p7/m, z19.h, z23.h 65C99352 fscale z18.d, p4/m, z18.d, z26.d 654999F5 fscale z21.h, p6/m, z21.h, z15.h // fsqrt_z_p_z_ 01100101xx001101101xxxxxxxxxxxxx 658DAB21 fsqrt z1.s, p2/m, z25.s 65CDA630 fsqrt z16.d, p1/m, z17.d 65CDA40B fsqrt z11.d, p1/m, z0.d 658DBD6F fsqrt z15.s, p7/m, z11.s 658DAE8E fsqrt z14.s, p3/m, z20.s 654DAE90 fsqrt z16.h, p3/m, z20.h 654DB43A fsqrt z26.h, p5/m, z1.h 658DAC31 fsqrt z17.s, p3/m, z1.s 65CDB24E fsqrt z14.d, p4/m, z18.d 658DB841 fsqrt z1.s, p6/m, z2.s 658DB08C fsqrt z12.s, p4/m, z4.s 654DB01F fsqrt z31.h, p4/m, z0.h 65CDA2E6 fsqrt z6.d, p0/m, z23.d 658DA020 fsqrt z0.s, p0/m, z1.s 65CDB4DE fsqrt z30.d, p5/m, z6.d 658DACFB fsqrt z27.s, p3/m, z7.s // fsub_z_p_zs_ 01100101xx011001100xxx0000xxxxxx 65998017 fsub z23.s, p0/m, z23.s, #0.5 65999400 fsub z0.s, p5/m, z0.s, #0.5 65D98003 fsub z3.d, p0/m, z3.d, #0.5 65999019 fsub z25.s, p4/m, z25.s, #0.5 65D98C1B fsub z27.d, p3/m, z27.d, #0.5 65599004 fsub z4.h, p4/m, z4.h, #0.5 65999436 fsub z22.s, p5/m, z22.s, #1.0 65D9943B fsub z27.d, p5/m, z27.d, #1.0 6599943B fsub z27.s, p5/m, z27.s, #1.0 65999026 fsub z6.s, p4/m, z6.s, #1.0 65999809 fsub z9.s, p6/m, z9.s, #0.5 65D98820 fsub z0.d, p2/m, z0.d, #1.0 65599801 fsub z1.h, p6/m, z1.h, #0.5 65598822 fsub z2.h, p2/m, z2.h, #1.0 65D9881C fsub z28.d, p2/m, z28.d, #0.5 6599880B fsub z11.s, p2/m, z11.s, #0.5 // fsub_z_p_zz_ 01100101xx000001100xxxxxxxxxxxxx 65C180B0 fsub z16.d, p0/m, z16.d, z5.d 658192F0 fsub z16.s, p4/m, z16.s, z23.s 65C1884D fsub z13.d, p2/m, z13.d, z2.d 658180A4 fsub z4.s, p0/m, z4.s, z5.s 654189E3 fsub z3.h, p2/m, z3.h, z15.h 65818A5D fsub z29.s, p2/m, z29.s, z18.s 65819599 fsub z25.s, p5/m, z25.s, z12.s 65C199D2 fsub z18.d, p6/m, z18.d, z14.d 65419355 fsub z21.h, p4/m, z21.h, z26.h 65419CAD fsub z13.h, p7/m, z13.h, z5.h 65C18500 fsub z0.d, p1/m, z0.d, z8.d 65419973 fsub z19.h, p6/m, z19.h, z11.h 65819803 fsub z3.s, p6/m, z3.s, z0.s 65419717 fsub z23.h, p5/m, z23.h, z24.h 65C194E7 fsub z7.d, p5/m, z7.d, z7.d 65C18567 fsub z7.d, p1/m, z7.d, z11.d // fsub_z_zz_ 01100101xx0xxxxx000001xxxxxxxxxx 65DC07EC fsub z12.d, z31.d, z28.d 65420700 fsub z0.h, z24.h, z2.h 654407FF fsub z31.h, z31.h, z4.h 659D06C3 fsub z3.s, z22.s, z29.s 65570577 fsub z23.h, z11.h, z23.h 65D405B0 fsub z16.d, z13.d, z20.d 6551079B fsub z27.h, z28.h, z17.h 6554048A fsub z10.h, z4.h, z20.h 65D1043B fsub z27.d, z1.d, z17.d 658504F5 fsub z21.s, z7.s, z5.s 655A0593 fsub z19.h, z12.h, z26.h 658B071A fsub z26.s, z24.s, z11.s 65CB07B9 fsub z25.d, z29.d, z11.d 658B0789 fsub z9.s, z28.s, z11.s 65520716 fsub z22.h, z24.h, z18.h 6540044F fsub z15.h, z2.h, z0.h // fsubr_z_p_zs_ 01100101xx011011100xxx0000xxxxxx 659B981B fsubr z27.s, p6/m, z27.s, #0.5 659B9C3E fsubr z30.s, p7/m, z30.s, #1.0 655B900B fsubr z11.h, p4/m, z11.h, #0.5 65DB8001 fsubr z1.d, p0/m, z1.d, #0.5 655B8C34 fsubr z20.h, p3/m, z20.h, #1.0 65DB8838 fsubr z24.d, p2/m, z24.d, #1.0 655B801F fsubr z31.h, p0/m, z31.h, #0.5 659B9820 fsubr z0.s, p6/m, z0.s, #1.0 659B9813 fsubr z19.s, p6/m, z19.s, #0.5 65DB903D fsubr z29.d, p4/m, z29.d, #1.0 655B8C1C fsubr z28.h, p3/m, z28.h, #0.5 655B9006 fsubr z6.h, p4/m, z6.h, #0.5 65DB8835 fsubr z21.d, p2/m, z21.d, #1.0 659B8402 fsubr z2.s, p1/m, z2.s, #0.5 65DB9418 fsubr z24.d, p5/m, z24.d, #0.5 655B900E fsubr z14.h, p4/m, z14.h, #0.5 // fsubr_z_p_zz_ 01100101xx000011100xxxxxxxxxxxxx 65839E3F fsubr z31.s, p7/m, z31.s, z17.s 65438393 fsubr z19.h, p0/m, z19.h, z28.h 658382A3 fsubr z3.s, p0/m, z3.s, z21.s 654393B7 fsubr z23.h, p4/m, z23.h, z29.h 658393E8 fsubr z8.s, p4/m, z8.s, z31.s 65839905 fsubr z5.s, p6/m, z5.s, z8.s 65439F13 fsubr z19.h, p7/m, z19.h, z24.h 65C39C6A fsubr z10.d, p7/m, z10.d, z3.d 65C38B89 fsubr z9.d, p2/m, z9.d, z28.d 65C39B65 fsubr z5.d, p6/m, z5.d, z27.d 65C3829B fsubr z27.d, p0/m, z27.d, z20.d 658395DA fsubr z26.s, p5/m, z26.s, z14.s 65839ACB fsubr z11.s, p6/m, z11.s, z22.s 65C392BF fsubr z31.d, p4/m, z31.d, z21.d 658398B8 fsubr z24.s, p6/m, z24.s, z5.s 658399F1 fsubr z17.s, p6/m, z17.s, z15.s // ftmad_z_zzi_ 01100101xx010xxx100000xxxxxxxxxx 659483CC ftmad z12.s, z12.s, z30.s, #0x4 6597816D ftmad z13.s, z13.s, z11.s, #0x7 655082E6 ftmad z6.h, z6.h, z23.h, #0x0 65548177 ftmad z23.h, z23.h, z11.h, #0x4 65548013 ftmad z19.h, z19.h, z0.h, #0x4 65D7822C ftmad z12.d, z12.d, z17.d, #0x7 65D68119 ftmad z25.d, z25.d, z8.d, #0x6 65918370 ftmad z16.s, z16.s, z27.s, #0x1 65528061 ftmad z1.h, z1.h, z3.h, #0x2 65938015 ftmad z21.s, z21.s, z0.s, #0x3 65968217 ftmad z23.s, z23.s, z16.s, #0x6 659681A7 ftmad z7.s, z7.s, z13.s, #0x6 655383D3 ftmad z19.h, z19.h, z30.h, #0x3 65D48029 ftmad z9.d, z9.d, z1.d, #0x4 65D083B0 ftmad z16.d, z16.d, z29.d, #0x0 655283FC ftmad z28.h, z28.h, z31.h, #0x2 // ftsmul_z_zz_ 01100101xx0xxxxx000011xxxxxxxxxx 65940E95 ftsmul z21.s, z20.s, z20.s 65DB0C99 ftsmul z25.d, z4.d, z27.d 655C0CA4 ftsmul z4.h, z5.h, z28.h 65CC0CB4 ftsmul z20.d, z5.d, z12.d 65C50C65 ftsmul z5.d, z3.d, z5.d 655C0E1E ftsmul z30.h, z16.h, z28.h 655E0C24 ftsmul z4.h, z1.h, z30.h 654F0CAB ftsmul z11.h, z5.h, z15.h 65930F6F ftsmul z15.s, z27.s, z19.s 65DA0DAE ftsmul z14.d, z13.d, z26.d 65890E40 ftsmul z0.s, z18.s, z9.s 65980ECB ftsmul z11.s, z22.s, z24.s 65DB0F45 ftsmul z5.d, z26.d, z27.d 655A0D1A ftsmul z26.h, z8.h, z26.h 65810DCA ftsmul z10.s, z14.s, z1.s 65860F67 ftsmul z7.s, z27.s, z6.s // ftssel_z_zz_ 00000100xx1xxxxx101100xxxxxxxxxx 04A2B140 ftssel z0.s, z10.s, z2.s 0479B0D0 ftssel z16.h, z6.h, z25.h 0479B36E ftssel z14.h, z27.h, z25.h 04B1B2A1 ftssel z1.s, z21.s, z17.s 04F2B253 ftssel z19.d, z18.d, z18.d 0462B235 ftssel z21.h, z17.h, z2.h 04F6B16E ftssel z14.d, z11.d, z22.d 04B2B2ED ftssel z13.s, z23.s, z18.s 04E8B26E ftssel z14.d, z19.d, z8.d 04F9B080 ftssel z0.d, z4.d, z25.d 0471B173 ftssel z19.h, z11.h, z17.h 04F1B1D0 ftssel z16.d, z14.d, z17.d 04BFB095 ftssel z21.s, z4.s, z31.s 04F3B2F7 ftssel z23.d, z23.d, z19.d 0471B267 ftssel z7.h, z19.h, z17.h 04ADB2DD ftssel z29.s, z22.s, z13.s // incb_r_rs_ 000001000011xxxx111000xxxxxxxxxx 043DE32B incb x11, #0x19, mul #0xe 0435E22C incb x12, #0x11, mul #0x6 0439E274 incb x20, #0x13, mul #0xa 043AE3E2 incb x2, all, mul #0xb 043BE1F8 incb x24, #0xf, mul #0xc 043FE105 incb x5, vl8, mul #0x10 043CE183 incb x3, vl128, mul #0xd 0439E177 incb x23, vl64, mul #0xa 0439E143 incb x3, vl32, mul #0xa 043BE3C9 incb x9, mul3, mul #0xc 0432E3E8 incb x8, all, mul #0x3 043BE35E incb x30, #0x1a, mul #0xc 0436E119 incb x25, vl8, mul #0x7 043AE245 incb x5, #0x12, mul #0xb 043DE05A incb x26, vl2, mul #0xe 043FE35C incb x28, #0x1a, mul #0x10 // incd_r_rs_ 000001001111xxxx111000xxxxxxxxxx 04FBE399 incd x25, #0x1c, mul #0xc 04FBE016 incd x22, pow2, mul #0xc 04FBE29F incd xzr, #0x14, mul #0xc 04F6E2A1 incd x1, #0x15, mul #0x7 04F8E117 incd x23, vl8, mul #0x9 04F3E0BF incd xzr, vl5, mul #0x4 04F9E3C5 incd x5, mul3, mul #0xa 04FFE312 incd x18, #0x18, mul #0x10 04F5E300 incd x0, #0x18, mul #0x6 04F3E047 incd x7, vl2, mul #0x4 04F8E154 incd x20, vl32, mul #0x9 04F8E39D incd x29, #0x1c, mul #0x9 04FCE070 incd x16, vl3, mul #0xd 04FEE297 incd x23, #0x14, mul #0xf 04F5E076 incd x22, vl3, mul #0x6 04FEE382 incd x2, #0x1c, mul #0xf // incd_z_zs_ 000001001111xxxx110000xxxxxxxxxx 04F7C3C3 incd z3.d, mul3, mul #0x8 04FAC1CE incd z14.d, #0xe, mul #0xb 04FFC015 incd z21.d, pow2, mul #0x10 04F7C3F8 incd z24.d, all, mul #0x8 04F9C13C incd z28.d, vl16, mul #0xa 04FEC0F7 incd z23.d, vl7, mul #0xf 04F3C2DF incd z31.d, #0x16, mul #0x4 04F5C182 incd z2.d, vl128, mul #0x6 04F4C096 incd z22.d, vl4, mul #0x5 04F6C07F incd z31.d, vl3, mul #0x7 04FCC22F incd z15.d, #0x11, mul #0xd 04F4C22D incd z13.d, #0x11, mul #0x5 04FFC1CA incd z10.d, #0xe, mul #0x10 04F6C285 incd z5.d, #0x14, mul #0x7 04F6C1F2 incd z18.d, #0xf, mul #0x7 04F6C1A8 incd z8.d, vl256, mul #0x7 // inch_r_rs_ 000001000111xxxx111000xxxxxxxxxx 047DE32F inch x15, #0x19, mul #0xe 047EE2D8 inch x24, #0x16, mul #0xf 047DE2A3 inch x3, #0x15, mul #0xe 0478E379 inch x25, #0x1b, mul #0x9 0473E037 inch x23, vl1, mul #0x4 0470E005 inch x5, pow2 047DE30E inch x14, #0x18, mul #0xe 047AE26B inch x11, #0x13, mul #0xb 047DE03D inch x29, vl1, mul #0xe 0479E2AA inch x10, #0x15, mul #0xa 0476E28E inch x14, #0x14, mul #0x7 0470E37A inch x26, #0x1b 0475E2C0 inch x0, #0x16, mul #0x6 0479E2F4 inch x20, #0x17, mul #0xa 0470E282 inch x2, #0x14 0476E3E4 inch x4, all, mul #0x7 // inch_z_zs_ 000001000111xxxx110000xxxxxxxxxx 0479C364 inch z4.h, #0x1b, mul #0xa 047AC34D inch z13.h, #0x1a, mul #0xb 0470C181 inch z1.h, vl128 0470C318 inch z24.h, #0x18 0471C175 inch z21.h, vl64, mul #0x2 0477C188 inch z8.h, vl128, mul #0x8 0471C075 inch z21.h, vl3, mul #0x2 0474C1D7 inch z23.h, #0xe, mul #0x5 0475C253 inch z19.h, #0x12, mul #0x6 0476C3DA inch z26.h, mul3, mul #0x7 0470C0DC inch z28.h, vl6 047EC369 inch z9.h, #0x1b, mul #0xf 0474C048 inch z8.h, vl2, mul #0x5 0470C36C inch z12.h, #0x1b 0477C181 inch z1.h, vl128, mul #0x8 0474C09F inch z31.h, vl4, mul #0x5 // incp_r_p_r_ 00100101xx1011001000100xxxxxxxxx 25AC8957 incp x23, p10.s 256C88DA incp x26, p6.h 256C8893 incp x19, p4.h 25AC887A incp x26, p3.s 252C881F incp xzr, p0.b 252C88EE incp x14, p7.b 252C88AD incp x13, p5.b 25AC8977 incp x23, p11.s 256C8831 incp x17, p1.h 25EC89BE incp x30, p13.d 252C8845 incp x5, p2.b 25AC8986 incp x6, p12.s 256C8934 incp x20, p9.h 256C88AE incp x14, p5.h 25EC8840 incp x0, p2.d 25EC89CD incp x13, p14.d // incp_z_p_z_ 00100101xx1011001000000xxxxxxxxx 25AC805E incp z30.s, p2 25AC81AD incp z13.s, p13 25EC8011 incp z17.d, p0 25AC81AB incp z11.s, p13 25EC808E incp z14.d, p4 25AC8180 incp z0.s, p12 25AC81CD incp z13.s, p14 25AC8198 incp z24.s, p12 25EC81A5 incp z5.d, p13 25AC80C0 incp z0.s, p6 256C8067 incp z7.h, p3 256C80D5 incp z21.h, p6 25AC8037 incp z23.s, p1 25EC8197 incp z23.d, p12 256C814B incp z11.h, p10 256C8077 incp z23.h, p3 // incw_r_rs_ 000001001011xxxx111000xxxxxxxxxx 04BBE1D7 incw x23, #0xe, mul #0xc 04B9E3E3 incw x3, all, mul #0xa 04BAE00B incw x11, pow2, mul #0xb 04B0E3E5 incw x5 04B9E248 incw x8, #0x12, mul #0xa 04B9E2E0 incw x0, #0x17, mul #0xa 04BAE283 incw x3, #0x14, mul #0xb 04B2E284 incw x4, #0x14, mul #0x3 04BEE28F incw x15, #0x14, mul #0xf 04B0E2E1 incw x1, #0x17 04BBE041 incw x1, vl2, mul #0xc 04BFE269 incw x9, #0x13, mul #0x10 04B7E3F3 incw x19, all, mul #0x8 04B3E0B7 incw x23, vl5, mul #0x4 04BBE14D incw x13, vl32, mul #0xc 04BAE088 incw x8, vl4, mul #0xb // incw_z_zs_ 000001001011xxxx110000xxxxxxxxxx 04B8C1A1 incw z1.s, vl256, mul #0x9 04BEC0B1 incw z17.s, vl5, mul #0xf 04BDC18B incw z11.s, vl128, mul #0xe 04B8C1F8 incw z24.s, #0xf, mul #0x9 04B8C1D6 incw z22.s, #0xe, mul #0x9 04B7C195 incw z21.s, vl128, mul #0x8 04B5C242 incw z2.s, #0x12, mul #0x6 04BDC244 incw z4.s, #0x12, mul #0xe 04B4C0BC incw z28.s, vl5, mul #0x5 04BDC2B0 incw z16.s, #0x15, mul #0xe 04BCC00E incw z14.s, pow2, mul #0xd 04B1C2D5 incw z21.s, #0x16, mul #0x2 04BFC2DE incw z30.s, #0x16, mul #0x10 04B7C192 incw z18.s, vl128, mul #0x8 04B4C1CA incw z10.s, #0xe, mul #0x5 04B6C3A5 incw z5.s, mul4, mul #0x7 // index_z_ii_ 00000100xx1xxxxx010000xxxxxxxxxx 04E6411D index z29.d, #0x8, #0x6 04F4429B index z27.d, #-12, #-12 042441DD index z29.b, #0xe, #0x4 04A343D1 index z17.s, #-2, #0x3 0463400D index z13.h, #0x0, #0x3 04F842EE index z14.d, #-9, #-8 04BC43D7 index z23.s, #-2, #-4 043C418E index z14.b, #0xc, #-4 047E4259 index z25.h, #-14, #-2 04F243D2 index z18.d, #-2, #-14 04BB4102 index z2.s, #0x8, #-5 04A04097 index z23.s, #0x4, #0x0 04F0425C index z28.d, #-14, #-16 046843FC index z28.h, #-1, #0x8 047041BE index z30.h, #0xd, #-16 04ED4182 index z2.d, #0xc, #0xd // index_z_ir_ 00000100xx1xxxxx010010xxxxxxxxxx 04B949A9 index z9.s, #0xd, w25 04F54B12 index z18.d, #-8, x21 042949B9 index z25.b, #0xd, w9 046F48C5 index z5.h, #0x6, w15 042A48CF index z15.b, #0x6, w10 046F4876 index z22.h, #0x3, w15 04B64BD7 index z23.s, #-2, w22 04614AE1 index z1.h, #-9, w1 04B24887 index z7.s, #0x4, w18 042E4A75 index z21.b, #-13, w14 04B749DA index z26.s, #0xe, w23 04254835 index z21.b, #0x1, w5 0426499E index z30.b, #0xc, w6 04A948DD index z29.s, #0x6, w9 046449B8 index z24.h, #0xd, w4 04A84ABF index z31.s, #-11, w8 // index_z_ri_ 00000100xx1xxxxx010001xxxxxxxxxx 042447B2 index z18.b, w29, #0x4 046D44C3 index z3.h, w6, #0xd 04FE47A1 index z1.d, x29, #-2 04B94626 index z6.s, w17, #-7 04ED4741 index z1.d, x26, #0xd 047246FC index z28.h, w23, #-14 04BD4689 index z9.s, w20, #-3 0473465E index z30.h, w18, #-13 04794561 index z1.h, w11, #-7 04BC4682 index z2.s, w20, #-4 04EB44AA index z10.d, x5, #0xb 04BB46A0 index z0.s, w21, #-5 046A4683 index z3.h, w20, #0xa 04604678 index z24.h, w19, #0x0 04A64479 index z25.s, w3, #0x6 046D467B index z27.h, w19, #0xd // index_z_rr_ 00000100xx1xxxxx010011xxxxxxxxxx 04734CF5 index z21.h, w7, w19 04644E58 index z24.h, w18, w4 04334F1D index z29.b, w24, w19 047F4E94 index z20.h, w20, wzr 04224C5F index z31.b, w2, w2 04614EFB index z27.h, w23, w1 047F4CCF index z15.h, w6, wzr 04F44F5A index z26.d, x26, x20 04324C2B index z11.b, w1, w18 042C4FE9 index z9.b, wzr, w12 04F54CF4 index z20.d, x7, x21 04EE4E90 index z16.d, x20, x14 04B14FE7 index z7.s, wzr, w17 04314C51 index z17.b, w2, w17 04E94E19 index z25.d, x16, x9 04294DC7 index z7.b, w14, w9 // insr_z_r_ 00000101xx100100001110xxxxxxxxxx 05A4393D insr z29.s, w9 05E439AB insr z11.d, x13 05243AC0 insr z0.b, w22 05A43B20 insr z0.s, w25 052439CA insr z10.b, w14 052439D6 insr z22.b, w14 052439AC insr z12.b, w13 05243B98 insr z24.b, w28 056439E3 insr z3.h, w15 056438AC insr z12.h, w5 05243B43 insr z3.b, w26 052438B6 insr z22.b, w5 056438C1 insr z1.h, w6 05643A28 insr z8.h, w17 05243AA7 insr z7.b, w21 05A43B22 insr z2.s, w25 // insr_z_v_ 00000101xx110100001110xxxxxxxxxx 05743AE3 insr z3.h, h23 05F4396A insr z10.d, d11 05F43942 insr z2.d, d10 05F43894 insr z20.d, d4 05B4381E insr z30.s, s0 05F438A8 insr z8.d, d5 05343B2E insr z14.b, b25 05743845 insr z5.h, h2 05F43A86 insr z6.d, d20 05F43A63 insr z3.d, d19 05F439AD insr z13.d, d13 0534385E insr z30.b, b2 057439EB insr z11.h, h15 05343993 insr z19.b, b12 05B43835 insr z21.s, s1 05B4389B insr z27.s, s4 // lasta_r_p_z_ 00000101xx100000101xxxxxxxxxxxxx 05E0BA9F lasta xzr, p6, z20.d 05A0B8E1 lasta w1, p6, z7.s 0520A196 lasta w22, p0, z12.b 05A0AAAC lasta w12, p2, z21.s 05E0B256 lasta x22, p4, z18.d 0560B115 lasta w21, p4, z8.h 0520BAC9 lasta w9, p6, z22.b 0560A761 lasta w1, p1, z27.h 0560BD4B lasta w11, p7, z10.h 0520BDF6 lasta w22, p7, z15.b 05E0A384 lasta x4, p0, z28.d 05A0B094 lasta w20, p4, z4.s 05A0A7B8 lasta w24, p1, z29.s 0560BD75 lasta w21, p7, z11.h 0520B5A4 lasta w4, p5, z13.b 0520BE64 lasta w4, p7, z19.b // lasta_v_p_z_ 00000101xx100010100xxxxxxxxxxxxx 05E29C08 lasta d8, p7, z0.d 0522922B lasta b11, p4, z17.b 05A28625 lasta s5, p1, z17.s 05628F7A lasta h26, p3, z27.h 05E287B8 lasta d24, p1, z29.d 05E29C53 lasta d19, p7, z2.d 05628896 lasta h22, p2, z4.h 05628935 lasta h21, p2, z9.h 05629035 lasta h21, p4, z1.h 05E287F7 lasta d23, p1, z31.d 05229116 lasta b22, p4, z8.b 052280F9 lasta b25, p0, z7.b 056283E8 lasta h8, p0, z31.h 05E297CD lasta d13, p5, z30.d 05A293AD lasta s13, p4, z29.s 05A28202 lasta s2, p0, z16.s // lastb_r_p_z_ 00000101xx100001101xxxxxxxxxxxxx 05E1A4DA lastb x26, p1, z6.d 05A1A239 lastb w25, p0, z17.s 05E1B27E lastb x30, p4, z19.d 05A1BD68 lastb w8, p7, z11.s 05A1A751 lastb w17, p1, z26.s 0561BF2F lastb w15, p7, z25.h 05E1B580 lastb x0, p5, z12.d 0561B9F5 lastb w21, p6, z15.h 0561A531 lastb w17, p1, z9.h 05E1A327 lastb x7, p0, z25.d 0561A08E lastb w14, p0, z4.h 05E1A887 lastb x7, p2, z4.d 0561B314 lastb w20, p4, z24.h 0561BF27 lastb w7, p7, z25.h 05E1A7E0 lastb x0, p1, z31.d 05E1B62E lastb x14, p5, z17.d // lastb_v_p_z_ 00000101xx100011100xxxxxxxxxxxxx 05639578 lastb h24, p5, z11.h 056384AC lastb h12, p1, z5.h 05E39A7B lastb d27, p6, z19.d 05A38E1F lastb s31, p3, z16.s 0523936E lastb b14, p4, z27.b 05E39EAF lastb d15, p7, z21.d 05239DEB lastb b11, p7, z15.b 05E38942 lastb d2, p2, z10.d 05238E60 lastb b0, p3, z19.b 05239767 lastb b7, p5, z27.b 05638E50 lastb h16, p3, z18.h 05238A20 lastb b0, p2, z17.b 05E38C96 lastb d22, p3, z4.d 05238238 lastb b24, p0, z17.b 05E39651 lastb d17, p5, z18.d 05239F7D lastb b29, p7, z27.b // ld1b_z_p_ai_d 11000100001xxxxx110xxxxxxxxxxxxx C43DC002 ld1b {z2.d}, p0/z, [z0.d, #0x1d] C426D618 ld1b {z24.d}, p5/z, [z16.d, #0x6] C435DE58 ld1b {z24.d}, p7/z, [z18.d, #0x15] C43BD548 ld1b {z8.d}, p5/z, [z10.d, #0x1b] C42CCD8D ld1b {z13.d}, p3/z, [z12.d, #0xc] C420C138 ld1b {z24.d}, p0/z, [z9.d] C42DCB7E ld1b {z30.d}, p2/z, [z27.d, #0xd] C420DAB7 ld1b {z23.d}, p6/z, [z21.d] C43AC261 ld1b {z1.d}, p0/z, [z19.d, #0x1a] C431C1D2 ld1b {z18.d}, p0/z, [z14.d, #0x11] C43CCD54 ld1b {z20.d}, p3/z, [z10.d, #0x1c] C43CDF93 ld1b {z19.d}, p7/z, [z28.d, #0x1c] C421CBC4 ld1b {z4.d}, p2/z, [z30.d, #0x1] C436D9D3 ld1b {z19.d}, p6/z, [z14.d, #0x16] C43FC2C9 ld1b {z9.d}, p0/z, [z22.d, #0x1f] C42DD749 ld1b {z9.d}, p5/z, [z26.d, #0xd] // ld1b_z_p_ai_s 10000100001xxxxx110xxxxxxxxxxxxx 8434DADC ld1b {z28.s}, p6/z, [z22.s, #0x14] 842ADD8E ld1b {z14.s}, p7/z, [z12.s, #0xa] 8430D559 ld1b {z25.s}, p5/z, [z10.s, #0x10] 843FC40D ld1b {z13.s}, p1/z, [z0.s, #0x1f] 8421C54D ld1b {z13.s}, p1/z, [z10.s, #0x1] 842DD086 ld1b {z6.s}, p4/z, [z4.s, #0xd] 8423D314 ld1b {z20.s}, p4/z, [z24.s, #0x3] 842DCA3A ld1b {z26.s}, p2/z, [z17.s, #0xd] 8438CB2D ld1b {z13.s}, p2/z, [z25.s, #0x18] 8428D29B ld1b {z27.s}, p4/z, [z20.s, #0x8] 8436D9E0 ld1b {z0.s}, p6/z, [z15.s, #0x16] 843EC30D ld1b {z13.s}, p0/z, [z24.s, #0x1e] 8439CF53 ld1b {z19.s}, p3/z, [z26.s, #0x19] 8437C1B1 ld1b {z17.s}, p0/z, [z13.s, #0x17] 843EDD42 ld1b {z2.s}, p7/z, [z10.s, #0x1e] 842AC231 ld1b {z17.s}, p0/z, [z17.s, #0xa] // ld1b_z_p_bi_u16 101001000010xxxx101xxxxxxxxxxxxx A42BB148 ld1b {z8.h}, p4/z, [x10, #-5, mul vl] A42EB3AF ld1b {z15.h}, p4/z, [x29, #-2, mul vl] A42AB2ED ld1b {z13.h}, p4/z, [x23, #-6, mul vl] A427A285 ld1b {z5.h}, p0/z, [x20, #0x7, mul vl] A42FA64E ld1b {z14.h}, p1/z, [x18, #-1, mul vl] A42EBD1F ld1b {z31.h}, p7/z, [x8, #-2, mul vl] A422AC3A ld1b {z26.h}, p3/z, [x1, #0x2, mul vl] A428AFFE ld1b {z30.h}, p3/z, [sp, #-8, mul vl] A42DACF6 ld1b {z22.h}, p3/z, [x7, #-3, mul vl] A421BE06 ld1b {z6.h}, p7/z, [x16, #0x1, mul vl] A426A6F5 ld1b {z21.h}, p1/z, [x23, #0x6, mul vl] A42EA307 ld1b {z7.h}, p0/z, [x24, #-2, mul vl] A42EA3B1 ld1b {z17.h}, p0/z, [x29, #-2, mul vl] A42FA57A ld1b {z26.h}, p1/z, [x11, #-1, mul vl] A429A3F4 ld1b {z20.h}, p0/z, [sp, #-7, mul vl] A423B856 ld1b {z22.h}, p6/z, [x2, #0x3, mul vl] // ld1b_z_p_bi_u32 101001000100xxxx101xxxxxxxxxxxxx A449AAED ld1b {z13.s}, p2/z, [x23, #-7, mul vl] A447A3F6 ld1b {z22.s}, p0/z, [sp, #0x7, mul vl] A443A424 ld1b {z4.s}, p1/z, [x1, #0x3, mul vl] A445A82D ld1b {z13.s}, p2/z, [x1, #0x5, mul vl] A440B9C8 ld1b {z8.s}, p6/z, [x14] A447B0B1 ld1b {z17.s}, p4/z, [x5, #0x7, mul vl] A44CA69C ld1b {z28.s}, p1/z, [x20, #-4, mul vl] A44DBE8B ld1b {z11.s}, p7/z, [x20, #-3, mul vl] A44DA10C ld1b {z12.s}, p0/z, [x8, #-3, mul vl] A444AF58 ld1b {z24.s}, p3/z, [x26, #0x4, mul vl] A444BACC ld1b {z12.s}, p6/z, [x22, #0x4, mul vl] A441ACAA ld1b {z10.s}, p3/z, [x5, #0x1, mul vl] A449A55B ld1b {z27.s}, p1/z, [x10, #-7, mul vl] A44AB1B7 ld1b {z23.s}, p4/z, [x13, #-6, mul vl] A443A227 ld1b {z7.s}, p0/z, [x17, #0x3, mul vl] A441A6D4 ld1b {z20.s}, p1/z, [x22, #0x1, mul vl] // ld1b_z_p_bi_u64 101001000110xxxx101xxxxxxxxxxxxx A46AB47B ld1b {z27.d}, p5/z, [x3, #-6, mul vl] A464BB99 ld1b {z25.d}, p6/z, [x28, #0x4, mul vl] A46AB3ED ld1b {z13.d}, p4/z, [sp, #-6, mul vl] A468B5AE ld1b {z14.d}, p5/z, [x13, #-8, mul vl] A464AD76 ld1b {z22.d}, p3/z, [x11, #0x4, mul vl] A461B642 ld1b {z2.d}, p5/z, [x18, #0x1, mul vl] A469B0A0 ld1b {z0.d}, p4/z, [x5, #-7, mul vl] A46FAB2D ld1b {z13.d}, p2/z, [x25, #-1, mul vl] A460B31F ld1b {z31.d}, p4/z, [x24] A46CA657 ld1b {z23.d}, p1/z, [x18, #-4, mul vl] A460B3CE ld1b {z14.d}, p4/z, [x30] A465BDD8 ld1b {z24.d}, p7/z, [x14, #0x5, mul vl] A46DB3AD ld1b {z13.d}, p4/z, [x29, #-3, mul vl] A464AA94 ld1b {z20.d}, p2/z, [x20, #0x4, mul vl] A46EB86F ld1b {z15.d}, p6/z, [x3, #-2, mul vl] A469BB66 ld1b {z6.d}, p6/z, [x27, #-7, mul vl] // ld1b_z_p_bi_u8 101001000000xxxx101xxxxxxxxxxxxx A40EA8A5 ld1b {z5.b}, p2/z, [x5, #-2, mul vl] A40ABD05 ld1b {z5.b}, p7/z, [x8, #-6, mul vl] A40CA831 ld1b {z17.b}, p2/z, [x1, #-4, mul vl] A40AB631 ld1b {z17.b}, p5/z, [x17, #-6, mul vl] A40EA658 ld1b {z24.b}, p1/z, [x18, #-2, mul vl] A402B345 ld1b {z5.b}, p4/z, [x26, #0x2, mul vl] A402B029 ld1b {z9.b}, p4/z, [x1, #0x2, mul vl] A402BE7B ld1b {z27.b}, p7/z, [x19, #0x2, mul vl] A40FB9CD ld1b {z13.b}, p6/z, [x14, #-1, mul vl] A40DA4C4 ld1b {z4.b}, p1/z, [x6, #-3, mul vl] A40DA767 ld1b {z7.b}, p1/z, [x27, #-3, mul vl] A401A8FC ld1b {z28.b}, p2/z, [x7, #0x1, mul vl] A401BD4B ld1b {z11.b}, p7/z, [x10, #0x1, mul vl] A401ADAD ld1b {z13.b}, p3/z, [x13, #0x1, mul vl] A402A8B4 ld1b {z20.b}, p2/z, [x5, #0x2, mul vl] A40DA08F ld1b {z15.b}, p0/z, [x4, #-3, mul vl] // ld1b_z_p_br_u16 10100100001xxxxx010xxxxxxxxxxxxx A4374A94 ld1b {z20.h}, p2/z, [x20, x23] A43B4529 ld1b {z9.h}, p1/z, [x9, x27] A437545A ld1b {z26.h}, p5/z, [x2, x23] A43B55CF ld1b {z15.h}, p5/z, [x14, x27] A422413E ld1b {z30.h}, p0/z, [x9, x2] A42B40D0 ld1b {z16.h}, p0/z, [x6, x11] A4234489 ld1b {z9.h}, p1/z, [x4, x3] A4354F96 ld1b {z22.h}, p3/z, [x28, x21] A4384686 ld1b {z6.h}, p1/z, [x20, x24] A42C4515 ld1b {z21.h}, p1/z, [x8, x12] A4304A16 ld1b {z22.h}, p2/z, [x16, x16] A423408D ld1b {z13.h}, p0/z, [x4, x3] A437581E ld1b {z30.h}, p6/z, [x0, x23] A42F534A ld1b {z10.h}, p4/z, [x26, x15] A43456D2 ld1b {z18.h}, p5/z, [x22, x20] A43350D9 ld1b {z25.h}, p4/z, [x6, x19] // ld1b_z_p_br_u32 10100100010xxxxx010xxxxxxxxxxxxx A4455743 ld1b {z3.s}, p5/z, [x26, x5] A45952E0 ld1b {z0.s}, p4/z, [x23, x25] A44A4EC6 ld1b {z6.s}, p3/z, [x22, x10] A45B5B8A ld1b {z10.s}, p6/z, [x28, x27] A45248AF ld1b {z15.s}, p2/z, [x5, x18] A454555E ld1b {z30.s}, p5/z, [x10, x20] A44F5C85 ld1b {z5.s}, p7/z, [x4, x15] A45B4DB7 ld1b {z23.s}, p3/z, [x13, x27] A44D5C67 ld1b {z7.s}, p7/z, [x3, x13] A45556E5 ld1b {z5.s}, p5/z, [x23, x21] A45C40F5 ld1b {z21.s}, p0/z, [x7, x28] A4545333 ld1b {z19.s}, p4/z, [x25, x20] A449591E ld1b {z30.s}, p6/z, [x8, x9] A4475BDB ld1b {z27.s}, p6/z, [x30, x7] A455517A ld1b {z26.s}, p4/z, [x11, x21] A4544716 ld1b {z22.s}, p1/z, [x24, x20] // ld1b_z_p_br_u64 10100100011xxxxx010xxxxxxxxxxxxx A47750C8 ld1b {z8.d}, p4/z, [x6, x23] A46F49DA ld1b {z26.d}, p2/z, [x14, x15] A4774E07 ld1b {z7.d}, p3/z, [x16, x23] A46457C9 ld1b {z9.d}, p5/z, [x30, x4] A46F4D0C ld1b {z12.d}, p3/z, [x8, x15] A46043E6 ld1b {z6.d}, p0/z, [sp, x0] A4635501 ld1b {z1.d}, p5/z, [x8, x3] A47C5C93 ld1b {z19.d}, p7/z, [x4, x28] A47B500F ld1b {z15.d}, p4/z, [x0, x27] A4785FA0 ld1b {z0.d}, p7/z, [x29, x24] A46E4BAC ld1b {z12.d}, p2/z, [x29, x14] A4744137 ld1b {z23.d}, p0/z, [x9, x20] A46846D1 ld1b {z17.d}, p1/z, [x22, x8] A4684390 ld1b {z16.d}, p0/z, [x28, x8] A46D5B51 ld1b {z17.d}, p6/z, [x26, x13] A4784DCC ld1b {z12.d}, p3/z, [x14, x24] // ld1b_z_p_br_u8 10100100000xxxxx010xxxxxxxxxxxxx A4195172 ld1b {z18.b}, p4/z, [x11, x25] A414414A ld1b {z10.b}, p0/z, [x10, x20] A4125DE8 ld1b {z8.b}, p7/z, [x15, x18] A4085F08 ld1b {z8.b}, p7/z, [x24, x8] A40C5EC8 ld1b {z8.b}, p7/z, [x22, x12] A41B40D5 ld1b {z21.b}, p0/z, [x6, x27] A41D536B ld1b {z11.b}, p4/z, [x27, x29] A4095FD1 ld1b {z17.b}, p7/z, [x30, x9] A4104BF4 ld1b {z20.b}, p2/z, [sp, x16] A4024180 ld1b {z0.b}, p0/z, [x12, x2] A4005877 ld1b {z23.b}, p6/z, [x3, x0] A40455F8 ld1b {z24.b}, p5/z, [x15, x4] A4104A4D ld1b {z13.b}, p2/z, [x18, x16] A41A45C2 ld1b {z2.b}, p1/z, [x14, x26] A41E451D ld1b {z29.b}, p1/z, [x8, x30] A41D4F15 ld1b {z21.b}, p3/z, [x24, x29] // ld1b_z_p_bz_d_64_unscaled 11000100010xxxxx110xxxxxxxxxxxxx C44AC084 ld1b {z4.d}, p0/z, [x4, z10.d] C44DC1D8 ld1b {z24.d}, p0/z, [x14, z13.d] C442DE70 ld1b {z16.d}, p7/z, [x19, z2.d] C44CD1DC ld1b {z28.d}, p4/z, [x14, z12.d] C453C45A ld1b {z26.d}, p1/z, [x2, z19.d] C450DEC6 ld1b {z6.d}, p7/z, [x22, z16.d] C448DC3B ld1b {z27.d}, p7/z, [x1, z8.d] C441C9EC ld1b {z12.d}, p2/z, [x15, z1.d] C449C5F1 ld1b {z17.d}, p1/z, [x15, z9.d] C451CC10 ld1b {z16.d}, p3/z, [x0, z17.d] C45DD435 ld1b {z21.d}, p5/z, [x1, z29.d] C45BD80C ld1b {z12.d}, p6/z, [x0, z27.d] C45BDDE4 ld1b {z4.d}, p7/z, [x15, z27.d] C445DA1A ld1b {z26.d}, p6/z, [x16, z5.d] C441C9DD ld1b {z29.d}, p2/z, [x14, z1.d] C446C2A3 ld1b {z3.d}, p0/z, [x21, z6.d] // ld1b_z_p_bz_d_x32_unscaled 110001000x0xxxxx010xxxxxxxxxxxxx C4175E56 ld1b {z22.d}, p7/z, [x18, z23.d, uxtw] C4094FFF ld1b {z31.d}, p3/z, [sp, z9.d, uxtw] C453519E ld1b {z30.d}, p4/z, [x12, z19.d, sxtw] C45B5C27 ld1b {z7.d}, p7/z, [x1, z27.d, sxtw] C4045292 ld1b {z18.d}, p4/z, [x20, z4.d, uxtw] C40F481A ld1b {z26.d}, p2/z, [x0, z15.d, uxtw] C45D4481 ld1b {z1.d}, p1/z, [x4, z29.d, sxtw] C40743CE ld1b {z14.d}, p0/z, [x30, z7.d, uxtw] C41C471B ld1b {z27.d}, p1/z, [x24, z28.d, uxtw] C40B4340 ld1b {z0.d}, p0/z, [x26, z11.d, uxtw] C4504825 ld1b {z5.d}, p2/z, [x1, z16.d, sxtw] C44C5108 ld1b {z8.d}, p4/z, [x8, z12.d, sxtw] C41E4873 ld1b {z19.d}, p2/z, [x3, z30.d, uxtw] C4064483 ld1b {z3.d}, p1/z, [x4, z6.d, uxtw] C41744F8 ld1b {z24.d}, p1/z, [x7, z23.d, uxtw] C4025C88 ld1b {z8.d}, p7/z, [x4, z2.d, uxtw] // ld1b_z_p_bz_s_x32_unscaled 100001000x0xxxxx010xxxxxxxxxxxxx 84504B6D ld1b {z13.s}, p2/z, [x27, z16.s, sxtw] 845B5DE0 ld1b {z0.s}, p7/z, [x15, z27.s, sxtw] 844C450F ld1b {z15.s}, p1/z, [x8, z12.s, sxtw] 840950A4 ld1b {z4.s}, p4/z, [x5, z9.s, uxtw] 840A479B ld1b {z27.s}, p1/z, [x28, z10.s, uxtw] 845842F8 ld1b {z24.s}, p0/z, [x23, z24.s, sxtw] 840B5535 ld1b {z21.s}, p5/z, [x9, z11.s, uxtw] 84504E97 ld1b {z23.s}, p3/z, [x20, z16.s, sxtw] 840B450A ld1b {z10.s}, p1/z, [x8, z11.s, uxtw] 844D5BEE ld1b {z14.s}, p6/z, [sp, z13.s, sxtw] 84154716 ld1b {z22.s}, p1/z, [x24, z21.s, uxtw] 8447427B ld1b {z27.s}, p0/z, [x19, z7.s, sxtw] 84185BD9 ld1b {z25.s}, p6/z, [x30, z24.s, uxtw] 840E5B15 ld1b {z21.s}, p6/z, [x24, z14.s, uxtw] 845C5783 ld1b {z3.s}, p5/z, [x28, z28.s, sxtw] 84475C18 ld1b {z24.s}, p7/z, [x0, z7.s, sxtw] // ld1d_z_p_ai_d 11000101101xxxxx110xxxxxxxxxxxxx C5A5D47E ld1d {z30.d}, p5/z, [z3.d, #0x28] C5A1CCE5 ld1d {z5.d}, p3/z, [z7.d, #0x8] C5B1C2D5 ld1d {z21.d}, p0/z, [z22.d, #0x88] C5A3C61C ld1d {z28.d}, p1/z, [z16.d, #0x18] C5B0CD0D ld1d {z13.d}, p3/z, [z8.d, #0x80] C5A5CDD7 ld1d {z23.d}, p3/z, [z14.d, #0x28] C5B3DEF0 ld1d {z16.d}, p7/z, [z23.d, #0x98] C5AAC420 ld1d {z0.d}, p1/z, [z1.d, #0x50] C5BFDA58 ld1d {z24.d}, p6/z, [z18.d, #0xf8] C5A6D815 ld1d {z21.d}, p6/z, [z0.d, #0x30] C5A1CEC5 ld1d {z5.d}, p3/z, [z22.d, #0x8] C5A1CEF0 ld1d {z16.d}, p3/z, [z23.d, #0x8] C5A6DD96 ld1d {z22.d}, p7/z, [z12.d, #0x30] C5A2CDD0 ld1d {z16.d}, p3/z, [z14.d, #0x10] C5A9D9BE ld1d {z30.d}, p6/z, [z13.d, #0x48] C5A0D786 ld1d {z6.d}, p5/z, [z28.d] // ld1d_z_p_bi_u64 101001011110xxxx101xxxxxxxxxxxxx A5EAB26A ld1d {z10.d}, p4/z, [x19, #-6, mul vl] A5E7B2E3 ld1d {z3.d}, p4/z, [x23, #0x7, mul vl] A5EBB489 ld1d {z9.d}, p5/z, [x4, #-5, mul vl] A5EAA768 ld1d {z8.d}, p1/z, [x27, #-6, mul vl] A5ECB4C6 ld1d {z6.d}, p5/z, [x6, #-4, mul vl] A5E7A3F8 ld1d {z24.d}, p0/z, [sp, #0x7, mul vl] A5E8A0DC ld1d {z28.d}, p0/z, [x6, #-8, mul vl] A5EDB753 ld1d {z19.d}, p5/z, [x26, #-3, mul vl] A5E4B55E ld1d {z30.d}, p5/z, [x10, #0x4, mul vl] A5E2BC58 ld1d {z24.d}, p7/z, [x2, #0x2, mul vl] A5E4BBB0 ld1d {z16.d}, p6/z, [x29, #0x4, mul vl] A5E4A3C8 ld1d {z8.d}, p0/z, [x30, #0x4, mul vl] A5E5A808 ld1d {z8.d}, p2/z, [x0, #0x5, mul vl] A5E1B236 ld1d {z22.d}, p4/z, [x17, #0x1, mul vl] A5E1ADA8 ld1d {z8.d}, p3/z, [x13, #0x1, mul vl] A5E6BDBC ld1d {z28.d}, p7/z, [x13, #0x6, mul vl] // ld1d_z_p_br_u64 10100101111xxxxx010xxxxxxxxxxxxx A5F6408C ld1d {z12.d}, p0/z, [x4, x22, lsl #0x3] A5E849D7 ld1d {z23.d}, p2/z, [x14, x8, lsl #0x3] A5F85215 ld1d {z21.d}, p4/z, [x16, x24, lsl #0x3] A5FB5FB3 ld1d {z19.d}, p7/z, [x29, x27, lsl #0x3] A5E45865 ld1d {z5.d}, p6/z, [x3, x4, lsl #0x3] A5EC5B95 ld1d {z21.d}, p6/z, [x28, x12, lsl #0x3] A5E24552 ld1d {z18.d}, p1/z, [x10, x2, lsl #0x3] A5EE433B ld1d {z27.d}, p0/z, [x25, x14, lsl #0x3] A5EF56D2 ld1d {z18.d}, p5/z, [x22, x15, lsl #0x3] A5FD48E3 ld1d {z3.d}, p2/z, [x7, x29, lsl #0x3] A5FB55D4 ld1d {z20.d}, p5/z, [x14, x27, lsl #0x3] A5E65128 ld1d {z8.d}, p4/z, [x9, x6, lsl #0x3] A5EF42B7 ld1d {z23.d}, p0/z, [x21, x15, lsl #0x3] A5E65B72 ld1d {z18.d}, p6/z, [x27, x6, lsl #0x3] A5EE4824 ld1d {z4.d}, p2/z, [x1, x14, lsl #0x3] A5F0501F ld1d {z31.d}, p4/z, [x0, x16, lsl #0x3] // ld1d_z_p_bz_d_64_scaled 11000101111xxxxx110xxxxxxxxxxxxx C5EAC9F9 ld1d {z25.d}, p2/z, [x15, z10.d, lsl #0x3] C5FCCBEB ld1d {z11.d}, p2/z, [sp, z28.d, lsl #0x3] C5E5C89B ld1d {z27.d}, p2/z, [x4, z5.d, lsl #0x3] C5F1C0B3 ld1d {z19.d}, p0/z, [x5, z17.d, lsl #0x3] C5EFC748 ld1d {z8.d}, p1/z, [x26, z15.d, lsl #0x3] C5E6C9E4 ld1d {z4.d}, p2/z, [x15, z6.d, lsl #0x3] C5F2DD3F ld1d {z31.d}, p7/z, [x9, z18.d, lsl #0x3] C5F4CE42 ld1d {z2.d}, p3/z, [x18, z20.d, lsl #0x3] C5E2D98D ld1d {z13.d}, p6/z, [x12, z2.d, lsl #0x3] C5EAD098 ld1d {z24.d}, p4/z, [x4, z10.d, lsl #0x3] C5F6CA08 ld1d {z8.d}, p2/z, [x16, z22.d, lsl #0x3] C5FDDD3B ld1d {z27.d}, p7/z, [x9, z29.d, lsl #0x3] C5E1D2A7 ld1d {z7.d}, p4/z, [x21, z1.d, lsl #0x3] C5F4DE84 ld1d {z4.d}, p7/z, [x20, z20.d, lsl #0x3] C5F1D423 ld1d {z3.d}, p5/z, [x1, z17.d, lsl #0x3] C5EADEBE ld1d {z30.d}, p7/z, [x21, z10.d, lsl #0x3] // ld1d_z_p_bz_d_64_unscaled 11000101110xxxxx110xxxxxxxxxxxxx C5C7C3F9 ld1d {z25.d}, p0/z, [sp, z7.d] C5CCC073 ld1d {z19.d}, p0/z, [x3, z12.d] C5C2C27A ld1d {z26.d}, p0/z, [x19, z2.d] C5D2DE0E ld1d {z14.d}, p7/z, [x16, z18.d] C5C6C27A ld1d {z26.d}, p0/z, [x19, z6.d] C5D0C372 ld1d {z18.d}, p0/z, [x27, z16.d] C5D8DE3C ld1d {z28.d}, p7/z, [x17, z24.d] C5DAC26B ld1d {z11.d}, p0/z, [x19, z26.d] C5D1DA6A ld1d {z10.d}, p6/z, [x19, z17.d] C5D9C2B7 ld1d {z23.d}, p0/z, [x21, z25.d] C5DFD88D ld1d {z13.d}, p6/z, [x4, z31.d] C5DDCFFB ld1d {z27.d}, p3/z, [sp, z29.d] C5DBD022 ld1d {z2.d}, p4/z, [x1, z27.d] C5DFC4B5 ld1d {z21.d}, p1/z, [x5, z31.d] C5C1D03D ld1d {z29.d}, p4/z, [x1, z1.d] C5DDD716 ld1d {z22.d}, p5/z, [x24, z29.d] // ld1d_z_p_bz_d_x32_scaled 110001011x1xxxxx010xxxxxxxxxxxxx C5A9446C ld1d {z12.d}, p1/z, [x3, z9.d, uxtw #0x3] C5AA5AF9 ld1d {z25.d}, p6/z, [x23, z10.d, uxtw #0x3] C5EB5F20 ld1d {z0.d}, p7/z, [x25, z11.d, sxtw #0x3] C5B448B6 ld1d {z22.d}, p2/z, [x5, z20.d, uxtw #0x3] C5B64485 ld1d {z5.d}, p1/z, [x4, z22.d, uxtw #0x3] C5A15D3F ld1d {z31.d}, p7/z, [x9, z1.d, uxtw #0x3] C5BA5708 ld1d {z8.d}, p5/z, [x24, z26.d, uxtw #0x3] C5F14E4A ld1d {z10.d}, p3/z, [x18, z17.d, sxtw #0x3] C5FF4330 ld1d {z16.d}, p0/z, [x25, z31.d, sxtw #0x3] C5AF5EA4 ld1d {z4.d}, p7/z, [x21, z15.d, uxtw #0x3] C5F4494E ld1d {z14.d}, p2/z, [x10, z20.d, sxtw #0x3] C5E15F4E ld1d {z14.d}, p7/z, [x26, z1.d, sxtw #0x3] C5BF4AD3 ld1d {z19.d}, p2/z, [x22, z31.d, uxtw #0x3] C5A15BF9 ld1d {z25.d}, p6/z, [sp, z1.d, uxtw #0x3] C5A6465F ld1d {z31.d}, p1/z, [x18, z6.d, uxtw #0x3] C5AF5FF3 ld1d {z19.d}, p7/z, [sp, z15.d, uxtw #0x3] // ld1d_z_p_bz_d_x32_unscaled 110001011x0xxxxx010xxxxxxxxxxxxx C5805668 ld1d {z8.d}, p5/z, [x19, z0.d, uxtw] C5C3486F ld1d {z15.d}, p2/z, [x3, z3.d, sxtw] C5D955F5 ld1d {z21.d}, p5/z, [x15, z25.d, sxtw] C599432A ld1d {z10.d}, p0/z, [x25, z25.d, uxtw] C59E5769 ld1d {z9.d}, p5/z, [x27, z30.d, uxtw] C5CE5CE2 ld1d {z2.d}, p7/z, [x7, z14.d, sxtw] C5D84EB4 ld1d {z20.d}, p3/z, [x21, z24.d, sxtw] C5DE4542 ld1d {z2.d}, p1/z, [x10, z30.d, sxtw] C5CC475F ld1d {z31.d}, p1/z, [x26, z12.d, sxtw] C5925021 ld1d {z1.d}, p4/z, [x1, z18.d, uxtw] C5D945CE ld1d {z14.d}, p1/z, [x14, z25.d, sxtw] C5DB5334 ld1d {z20.d}, p4/z, [x25, z27.d, sxtw] C58852EF ld1d {z15.d}, p4/z, [x23, z8.d, uxtw] C58E491D ld1d {z29.d}, p2/z, [x8, z14.d, uxtw] C5DE5F8B ld1d {z11.d}, p7/z, [x28, z30.d, sxtw] C5CF4758 ld1d {z24.d}, p1/z, [x26, z15.d, sxtw] // ld1h_z_p_ai_d 11000100101xxxxx110xxxxxxxxxxxxx C4BDC056 ld1h {z22.d}, p0/z, [z2.d, #0x3a] C4BAD46C ld1h {z12.d}, p5/z, [z3.d, #0x34] C4BFC344 ld1h {z4.d}, p0/z, [z26.d, #0x3e] C4A6DAD0 ld1h {z16.d}, p6/z, [z22.d, #0xc] C4B5CCDC ld1h {z28.d}, p3/z, [z6.d, #0x2a] C4B6D997 ld1h {z23.d}, p6/z, [z12.d, #0x2c] C4B2DF58 ld1h {z24.d}, p7/z, [z26.d, #0x24] C4B6C5FF ld1h {z31.d}, p1/z, [z15.d, #0x2c] C4A6CCBD ld1h {z29.d}, p3/z, [z5.d, #0xc] C4B8DD99 ld1h {z25.d}, p7/z, [z12.d, #0x30] C4B1DD22 ld1h {z2.d}, p7/z, [z9.d, #0x22] C4A3C2FA ld1h {z26.d}, p0/z, [z23.d, #0x6] C4B4C747 ld1h {z7.d}, p1/z, [z26.d, #0x28] C4B7CC1A ld1h {z26.d}, p3/z, [z0.d, #0x2e] C4BBCDCC ld1h {z12.d}, p3/z, [z14.d, #0x36] C4AFDC09 ld1h {z9.d}, p7/z, [z0.d, #0x1e] // ld1h_z_p_ai_s 10000100101xxxxx110xxxxxxxxxxxxx 84B9D2D7 ld1h {z23.s}, p4/z, [z22.s, #0x32] 84A9C60D ld1h {z13.s}, p1/z, [z16.s, #0x12] 84B5DDE8 ld1h {z8.s}, p7/z, [z15.s, #0x2a] 84BCCF37 ld1h {z23.s}, p3/z, [z25.s, #0x38] 84BBD725 ld1h {z5.s}, p5/z, [z25.s, #0x36] 84A8C14E ld1h {z14.s}, p0/z, [z10.s, #0x10] 84BFD637 ld1h {z23.s}, p5/z, [z17.s, #0x3e] 84AAD750 ld1h {z16.s}, p5/z, [z26.s, #0x14] 84A0C4F4 ld1h {z20.s}, p1/z, [z7.s] 84B8DA20 ld1h {z0.s}, p6/z, [z17.s, #0x30] 84B5DF0A ld1h {z10.s}, p7/z, [z24.s, #0x2a] 84A1DE5E ld1h {z30.s}, p7/z, [z18.s, #0x2] 84A2CE4C ld1h {z12.s}, p3/z, [z18.s, #0x4] 84BAC073 ld1h {z19.s}, p0/z, [z3.s, #0x34] 84A2C43F ld1h {z31.s}, p1/z, [z1.s, #0x4] 84A0DACD ld1h {z13.s}, p6/z, [z22.s] // ld1h_z_p_bi_u16 101001001010xxxx101xxxxxxxxxxxxx A4A1A96C ld1h {z12.h}, p2/z, [x11, #0x1, mul vl] A4A2A72F ld1h {z15.h}, p1/z, [x25, #0x2, mul vl] A4A3A637 ld1h {z23.h}, p1/z, [x17, #0x3, mul vl] A4AFA7CD ld1h {z13.h}, p1/z, [x30, #-1, mul vl] A4AEA96A ld1h {z10.h}, p2/z, [x11, #-2, mul vl] A4AAA6BB ld1h {z27.h}, p1/z, [x21, #-6, mul vl] A4A6B232 ld1h {z18.h}, p4/z, [x17, #0x6, mul vl] A4A9B58F ld1h {z15.h}, p5/z, [x12, #-7, mul vl] A4AEBBDC ld1h {z28.h}, p6/z, [x30, #-2, mul vl] A4ADACB9 ld1h {z25.h}, p3/z, [x5, #-3, mul vl] A4A5A008 ld1h {z8.h}, p0/z, [x0, #0x5, mul vl] A4A7AE30 ld1h {z16.h}, p3/z, [x17, #0x7, mul vl] A4A4B198 ld1h {z24.h}, p4/z, [x12, #0x4, mul vl] A4A1BC9B ld1h {z27.h}, p7/z, [x4, #0x1, mul vl] A4A2A18C ld1h {z12.h}, p0/z, [x12, #0x2, mul vl] A4A4B31C ld1h {z28.h}, p4/z, [x24, #0x4, mul vl] // ld1h_z_p_bi_u32 101001001100xxxx101xxxxxxxxxxxxx A4C7B43B ld1h {z27.s}, p5/z, [x1, #0x7, mul vl] A4C7B953 ld1h {z19.s}, p6/z, [x10, #0x7, mul vl] A4C9B406 ld1h {z6.s}, p5/z, [x0, #-7, mul vl] A4C7B7DA ld1h {z26.s}, p5/z, [x30, #0x7, mul vl] A4CCBCF1 ld1h {z17.s}, p7/z, [x7, #-4, mul vl] A4C3A904 ld1h {z4.s}, p2/z, [x8, #0x3, mul vl] A4CFA5F0 ld1h {z16.s}, p1/z, [x15, #-1, mul vl] A4CCAEB5 ld1h {z21.s}, p3/z, [x21, #-4, mul vl] A4C2AEC3 ld1h {z3.s}, p3/z, [x22, #0x2, mul vl] A4C1B834 ld1h {z20.s}, p6/z, [x1, #0x1, mul vl] A4CBB5BF ld1h {z31.s}, p5/z, [x13, #-5, mul vl] A4C3A280 ld1h {z0.s}, p0/z, [x20, #0x3, mul vl] A4C0ADB3 ld1h {z19.s}, p3/z, [x13] A4C0ACF3 ld1h {z19.s}, p3/z, [x7] A4C9BBFE ld1h {z30.s}, p6/z, [sp, #-7, mul vl] A4C9BD75 ld1h {z21.s}, p7/z, [x11, #-7, mul vl] // ld1h_z_p_bi_u64 101001001110xxxx101xxxxxxxxxxxxx A4ECB1AB ld1h {z11.d}, p4/z, [x13, #-4, mul vl] A4EDA198 ld1h {z24.d}, p0/z, [x12, #-3, mul vl] A4E9B4D2 ld1h {z18.d}, p5/z, [x6, #-7, mul vl] A4E1AB48 ld1h {z8.d}, p2/z, [x26, #0x1, mul vl] A4E3BA16 ld1h {z22.d}, p6/z, [x16, #0x3, mul vl] A4E3A739 ld1h {z25.d}, p1/z, [x25, #0x3, mul vl] A4E6A6F9 ld1h {z25.d}, p1/z, [x23, #0x6, mul vl] A4EFBE85 ld1h {z5.d}, p7/z, [x20, #-1, mul vl] A4E5A094 ld1h {z20.d}, p0/z, [x4, #0x5, mul vl] A4EFAFE0 ld1h {z0.d}, p3/z, [sp, #-1, mul vl] A4E3AA83 ld1h {z3.d}, p2/z, [x20, #0x3, mul vl] A4E8B63E ld1h {z30.d}, p5/z, [x17, #-8, mul vl] A4E4B118 ld1h {z24.d}, p4/z, [x8, #0x4, mul vl] A4E1BC27 ld1h {z7.d}, p7/z, [x1, #0x1, mul vl] A4EEBD34 ld1h {z20.d}, p7/z, [x9, #-2, mul vl] A4EBAC19 ld1h {z25.d}, p3/z, [x0, #-5, mul vl] // ld1h_z_p_br_u16 10100100101xxxxx010xxxxxxxxxxxxx A4B25CDA ld1h {z26.h}, p7/z, [x6, x18, lsl #0x1] A4A3503A ld1h {z26.h}, p4/z, [x1, x3, lsl #0x1] A4B1478F ld1h {z15.h}, p1/z, [x28, x17, lsl #0x1] A4A55300 ld1h {z0.h}, p4/z, [x24, x5, lsl #0x1] A4AB5920 ld1h {z0.h}, p6/z, [x9, x11, lsl #0x1] A4BC4159 ld1h {z25.h}, p0/z, [x10, x28, lsl #0x1] A4A559A6 ld1h {z6.h}, p6/z, [x13, x5, lsl #0x1] A4A7525F ld1h {z31.h}, p4/z, [x18, x7, lsl #0x1] A4A456F6 ld1h {z22.h}, p5/z, [x23, x4, lsl #0x1] A4A75655 ld1h {z21.h}, p5/z, [x18, x7, lsl #0x1] A4A04A20 ld1h {z0.h}, p2/z, [x17, x0, lsl #0x1] A4A15294 ld1h {z20.h}, p4/z, [x20, x1, lsl #0x1] A4BC5C78 ld1h {z24.h}, p7/z, [x3, x28, lsl #0x1] A4BE52D1 ld1h {z17.h}, p4/z, [x22, x30, lsl #0x1] A4B94A43 ld1h {z3.h}, p2/z, [x18, x25, lsl #0x1] A4B84D99 ld1h {z25.h}, p3/z, [x12, x24, lsl #0x1] // ld1h_z_p_br_u32 10100100110xxxxx010xxxxxxxxxxxxx A4D94F47 ld1h {z7.s}, p3/z, [x26, x25, lsl #0x1] A4C0449E ld1h {z30.s}, p1/z, [x4, x0, lsl #0x1] A4C64F48 ld1h {z8.s}, p3/z, [x26, x6, lsl #0x1] A4DB42C4 ld1h {z4.s}, p0/z, [x22, x27, lsl #0x1] A4DD5FAB ld1h {z11.s}, p7/z, [x29, x29, lsl #0x1] A4C04DB9 ld1h {z25.s}, p3/z, [x13, x0, lsl #0x1] A4D357A8 ld1h {z8.s}, p5/z, [x29, x19, lsl #0x1] A4C8535C ld1h {z28.s}, p4/z, [x26, x8, lsl #0x1] A4D357B2 ld1h {z18.s}, p5/z, [x29, x19, lsl #0x1] A4D747B3 ld1h {z19.s}, p1/z, [x29, x23, lsl #0x1] A4CF4342 ld1h {z2.s}, p0/z, [x26, x15, lsl #0x1] A4CD5E50 ld1h {z16.s}, p7/z, [x18, x13, lsl #0x1] A4D55714 ld1h {z20.s}, p5/z, [x24, x21, lsl #0x1] A4D15CFD ld1h {z29.s}, p7/z, [x7, x17, lsl #0x1] A4DC5D7E ld1h {z30.s}, p7/z, [x11, x28, lsl #0x1] A4CB5623 ld1h {z3.s}, p5/z, [x17, x11, lsl #0x1] // ld1h_z_p_br_u64 10100100111xxxxx010xxxxxxxxxxxxx A4F34DD3 ld1h {z19.d}, p3/z, [x14, x19, lsl #0x1] A4E44E79 ld1h {z25.d}, p3/z, [x19, x4, lsl #0x1] A4FE40C1 ld1h {z1.d}, p0/z, [x6, x30, lsl #0x1] A4F54B9E ld1h {z30.d}, p2/z, [x28, x21, lsl #0x1] A4F659EC ld1h {z12.d}, p6/z, [x15, x22, lsl #0x1] A4F1438E ld1h {z14.d}, p0/z, [x28, x17, lsl #0x1] A4E04371 ld1h {z17.d}, p0/z, [x27, x0, lsl #0x1] A4F657E3 ld1h {z3.d}, p5/z, [sp, x22, lsl #0x1] A4EB5E62 ld1h {z2.d}, p7/z, [x19, x11, lsl #0x1] A4E35C45 ld1h {z5.d}, p7/z, [x2, x3, lsl #0x1] A4E44BE6 ld1h {z6.d}, p2/z, [sp, x4, lsl #0x1] A4F744BA ld1h {z26.d}, p1/z, [x5, x23, lsl #0x1] A4F84CD6 ld1h {z22.d}, p3/z, [x6, x24, lsl #0x1] A4F24BC5 ld1h {z5.d}, p2/z, [x30, x18, lsl #0x1] A4EF4C00 ld1h {z0.d}, p3/z, [x0, x15, lsl #0x1] A4F45E62 ld1h {z2.d}, p7/z, [x19, x20, lsl #0x1] // ld1h_z_p_bz_d_64_scaled 11000100111xxxxx110xxxxxxxxxxxxx C4F6D92F ld1h {z15.d}, p6/z, [x9, z22.d, lsl #0x1] C4E0DE4C ld1h {z12.d}, p7/z, [x18, z0.d, lsl #0x1] C4EFC2AA ld1h {z10.d}, p0/z, [x21, z15.d, lsl #0x1] C4FCC1E1 ld1h {z1.d}, p0/z, [x15, z28.d, lsl #0x1] C4F2D7C8 ld1h {z8.d}, p5/z, [x30, z18.d, lsl #0x1] C4FBD55B ld1h {z27.d}, p5/z, [x10, z27.d, lsl #0x1] C4E0D380 ld1h {z0.d}, p4/z, [x28, z0.d, lsl #0x1] C4FADF33 ld1h {z19.d}, p7/z, [x25, z26.d, lsl #0x1] C4ECD22F ld1h {z15.d}, p4/z, [x17, z12.d, lsl #0x1] C4E3DC87 ld1h {z7.d}, p7/z, [x4, z3.d, lsl #0x1] C4E9C5A5 ld1h {z5.d}, p1/z, [x13, z9.d, lsl #0x1] C4E1D971 ld1h {z17.d}, p6/z, [x11, z1.d, lsl #0x1] C4E7DCE9 ld1h {z9.d}, p7/z, [x7, z7.d, lsl #0x1] C4F4CDB3 ld1h {z19.d}, p3/z, [x13, z20.d, lsl #0x1] C4ECDAAA ld1h {z10.d}, p6/z, [x21, z12.d, lsl #0x1] C4E0C25C ld1h {z28.d}, p0/z, [x18, z0.d, lsl #0x1] // ld1h_z_p_bz_d_64_unscaled 11000100110xxxxx110xxxxxxxxxxxxx C4C8D2EF ld1h {z15.d}, p4/z, [x23, z8.d] C4CBD146 ld1h {z6.d}, p4/z, [x10, z11.d] C4C2CB1A ld1h {z26.d}, p2/z, [x24, z2.d] C4C6D32C ld1h {z12.d}, p4/z, [x25, z6.d] C4CACE9C ld1h {z28.d}, p3/z, [x20, z10.d] C4D3CD04 ld1h {z4.d}, p3/z, [x8, z19.d] C4C1CA8E ld1h {z14.d}, p2/z, [x20, z1.d] C4CFC4C3 ld1h {z3.d}, p1/z, [x6, z15.d] C4DFD22A ld1h {z10.d}, p4/z, [x17, z31.d] C4CDC1C0 ld1h {z0.d}, p0/z, [x14, z13.d] C4DFC326 ld1h {z6.d}, p0/z, [x25, z31.d] C4CDC601 ld1h {z1.d}, p1/z, [x16, z13.d] C4C4C911 ld1h {z17.d}, p2/z, [x8, z4.d] C4C5C66E ld1h {z14.d}, p1/z, [x19, z5.d] C4CFDFCB ld1h {z11.d}, p7/z, [x30, z15.d] C4CED8F7 ld1h {z23.d}, p6/z, [x7, z14.d] // ld1h_z_p_bz_d_x32_scaled 110001001x1xxxxx010xxxxxxxxxxxxx C4B443B2 ld1h {z18.d}, p0/z, [x29, z20.d, uxtw #0x1] C4B559AD ld1h {z13.d}, p6/z, [x13, z21.d, uxtw #0x1] C4F345F5 ld1h {z21.d}, p1/z, [x15, z19.d, sxtw #0x1] C4EF58BB ld1h {z27.d}, p6/z, [x5, z15.d, sxtw #0x1] C4AF5EB0 ld1h {z16.d}, p7/z, [x21, z15.d, uxtw #0x1] C4BC5C25 ld1h {z5.d}, p7/z, [x1, z28.d, uxtw #0x1] C4AF4532 ld1h {z18.d}, p1/z, [x9, z15.d, uxtw #0x1] C4ED4112 ld1h {z18.d}, p0/z, [x8, z13.d, sxtw #0x1] C4A45CFB ld1h {z27.d}, p7/z, [x7, z4.d, uxtw #0x1] C4A6579E ld1h {z30.d}, p5/z, [x28, z6.d, uxtw #0x1] C4AB4D8E ld1h {z14.d}, p3/z, [x12, z11.d, uxtw #0x1] C4AB5E10 ld1h {z16.d}, p7/z, [x16, z11.d, uxtw #0x1] C4AA5F0F ld1h {z15.d}, p7/z, [x24, z10.d, uxtw #0x1] C4BD47B7 ld1h {z23.d}, p1/z, [x29, z29.d, uxtw #0x1] C4E64666 ld1h {z6.d}, p1/z, [x19, z6.d, sxtw #0x1] C4E659C3 ld1h {z3.d}, p6/z, [x14, z6.d, sxtw #0x1] // ld1h_z_p_bz_d_x32_unscaled 110001001x0xxxxx010xxxxxxxxxxxxx C48240AD ld1h {z13.d}, p0/z, [x5, z2.d, uxtw] C4DE488D ld1h {z13.d}, p2/z, [x4, z30.d, sxtw] C4DD555D ld1h {z29.d}, p5/z, [x10, z29.d, sxtw] C4C14D6D ld1h {z13.d}, p3/z, [x11, z1.d, sxtw] C4C25BA4 ld1h {z4.d}, p6/z, [x29, z2.d, sxtw] C4895C0B ld1h {z11.d}, p7/z, [x0, z9.d, uxtw] C49447E2 ld1h {z2.d}, p1/z, [sp, z20.d, uxtw] C4985572 ld1h {z18.d}, p5/z, [x11, z24.d, uxtw] C4CE517E ld1h {z30.d}, p4/z, [x11, z14.d, sxtw] C4CE5FF2 ld1h {z18.d}, p7/z, [sp, z14.d, sxtw] C4CA5BFF ld1h {z31.d}, p6/z, [sp, z10.d, sxtw] C49843CE ld1h {z14.d}, p0/z, [x30, z24.d, uxtw] C4D445FB ld1h {z27.d}, p1/z, [x15, z20.d, sxtw] C4DB4E20 ld1h {z0.d}, p3/z, [x17, z27.d, sxtw] C4D34D63 ld1h {z3.d}, p3/z, [x11, z19.d, sxtw] C4884A72 ld1h {z18.d}, p2/z, [x19, z8.d, uxtw] // ld1h_z_p_bz_s_x32_scaled 100001001x1xxxxx010xxxxxxxxxxxxx 84A35584 ld1h {z4.s}, p5/z, [x12, z3.s, uxtw #0x1] 84FE43C8 ld1h {z8.s}, p0/z, [x30, z30.s, sxtw #0x1] 84B444FA ld1h {z26.s}, p1/z, [x7, z20.s, uxtw #0x1] 84AE52A2 ld1h {z2.s}, p4/z, [x21, z14.s, uxtw #0x1] 84E846DE ld1h {z30.s}, p1/z, [x22, z8.s, sxtw #0x1] 84AD4518 ld1h {z24.s}, p1/z, [x8, z13.s, uxtw #0x1] 84F55FCD ld1h {z13.s}, p7/z, [x30, z21.s, sxtw #0x1] 84FF5782 ld1h {z2.s}, p5/z, [x28, z31.s, sxtw #0x1] 84E34A47 ld1h {z7.s}, p2/z, [x18, z3.s, sxtw #0x1] 84A54298 ld1h {z24.s}, p0/z, [x20, z5.s, uxtw #0x1] 84F34C66 ld1h {z6.s}, p3/z, [x3, z19.s, sxtw #0x1] 84A65646 ld1h {z6.s}, p5/z, [x18, z6.s, uxtw #0x1] 84F143A3 ld1h {z3.s}, p0/z, [x29, z17.s, sxtw #0x1] 84B45DE4 ld1h {z4.s}, p7/z, [x15, z20.s, uxtw #0x1] 84FD5176 ld1h {z22.s}, p4/z, [x11, z29.s, sxtw #0x1] 84F64DA0 ld1h {z0.s}, p3/z, [x13, z22.s, sxtw #0x1] // ld1h_z_p_bz_s_x32_unscaled 100001001x0xxxxx010xxxxxxxxxxxxx 84D957DF ld1h {z31.s}, p5/z, [x30, z25.s, sxtw] 84DF4244 ld1h {z4.s}, p0/z, [x18, z31.s, sxtw] 84CE56A8 ld1h {z8.s}, p5/z, [x21, z14.s, sxtw] 84DE5464 ld1h {z4.s}, p5/z, [x3, z30.s, sxtw] 84D04CF5 ld1h {z21.s}, p3/z, [x7, z16.s, sxtw] 84844192 ld1h {z18.s}, p0/z, [x12, z4.s, uxtw] 84C74C21 ld1h {z1.s}, p3/z, [x1, z7.s, sxtw] 84985A56 ld1h {z22.s}, p6/z, [x18, z24.s, uxtw] 84CC5952 ld1h {z18.s}, p6/z, [x10, z12.s, sxtw] 84864486 ld1h {z6.s}, p1/z, [x4, z6.s, uxtw] 849C40DE ld1h {z30.s}, p0/z, [x6, z28.s, uxtw] 84DD4995 ld1h {z21.s}, p2/z, [x12, z29.s, sxtw] 84CA529A ld1h {z26.s}, p4/z, [x20, z10.s, sxtw] 84DF4C7C ld1h {z28.s}, p3/z, [x3, z31.s, sxtw] 848356E6 ld1h {z6.s}, p5/z, [x23, z3.s, uxtw] 848C49E2 ld1h {z2.s}, p2/z, [x15, z12.s, uxtw] // ld1rb_z_p_bi_u16 1000010001xxxxxx101xxxxxxxxxxxxx 847EBFD0 ld1rb {z16.h}, p7/z, [x30, #0x3e] 8454B476 ld1rb {z22.h}, p5/z, [x3, #0x14] 8456A5B2 ld1rb {z18.h}, p1/z, [x13, #0x16] 847FAB7F ld1rb {z31.h}, p2/z, [x27, #0x3f] 8441B08E ld1rb {z14.h}, p4/z, [x4, #0x1] 8465A5A9 ld1rb {z9.h}, p1/z, [x13, #0x25] 845EA9EB ld1rb {z11.h}, p2/z, [x15, #0x1e] 8446A1AF ld1rb {z15.h}, p0/z, [x13, #0x6] 8441B23E ld1rb {z30.h}, p4/z, [x17, #0x1] 846EA1F5 ld1rb {z21.h}, p0/z, [x15, #0x2e] 846FB508 ld1rb {z8.h}, p5/z, [x8, #0x2f] 8452BFB0 ld1rb {z16.h}, p7/z, [x29, #0x12] 8461BDB5 ld1rb {z21.h}, p7/z, [x13, #0x21] 8462B6B9 ld1rb {z25.h}, p5/z, [x21, #0x22] 8466AD59 ld1rb {z25.h}, p3/z, [x10, #0x26] 847DAEC2 ld1rb {z2.h}, p3/z, [x22, #0x3d] // ld1rb_z_p_bi_u32 1000010001xxxxxx110xxxxxxxxxxxxx 8452D6F0 ld1rb {z16.s}, p5/z, [x23, #0x12] 8450DB45 ld1rb {z5.s}, p6/z, [x26, #0x10] 8445D31E ld1rb {z30.s}, p4/z, [x24, #0x5] 844DC9CF ld1rb {z15.s}, p2/z, [x14, #0xd] 8473C138 ld1rb {z24.s}, p0/z, [x9, #0x33] 8450CB05 ld1rb {z5.s}, p2/z, [x24, #0x10] 8457DF95 ld1rb {z21.s}, p7/z, [x28, #0x17] 8454DC36 ld1rb {z22.s}, p7/z, [x1, #0x14] 8448DA2E ld1rb {z14.s}, p6/z, [x17, #0x8] 8462C448 ld1rb {z8.s}, p1/z, [x2, #0x22] 8447D195 ld1rb {z21.s}, p4/z, [x12, #0x7] 8472C58C ld1rb {z12.s}, p1/z, [x12, #0x32] 847CDA06 ld1rb {z6.s}, p6/z, [x16, #0x3c] 8467C31E ld1rb {z30.s}, p0/z, [x24, #0x27] 846BCA0B ld1rb {z11.s}, p2/z, [x16, #0x2b] 8452C083 ld1rb {z3.s}, p0/z, [x4, #0x12] // ld1rb_z_p_bi_u64 1000010001xxxxxx111xxxxxxxxxxxxx 8464ED2C ld1rb {z12.d}, p3/z, [x9, #0x24] 8475FE39 ld1rb {z25.d}, p7/z, [x17, #0x35] 8473F557 ld1rb {z23.d}, p5/z, [x10, #0x33] 845AFD67 ld1rb {z7.d}, p7/z, [x11, #0x1a] 8470EFF5 ld1rb {z21.d}, p3/z, [sp, #0x30] 8451E19F ld1rb {z31.d}, p0/z, [x12, #0x11] 8463F14E ld1rb {z14.d}, p4/z, [x10, #0x23] 846AE1E9 ld1rb {z9.d}, p0/z, [x15, #0x2a] 846AE67B ld1rb {z27.d}, p1/z, [x19, #0x2a] 846CE5EA ld1rb {z10.d}, p1/z, [x15, #0x2c] 846FEA06 ld1rb {z6.d}, p2/z, [x16, #0x2f] 8479E653 ld1rb {z19.d}, p1/z, [x18, #0x39] 8467EC4B ld1rb {z11.d}, p3/z, [x2, #0x27] 8445F3ED ld1rb {z13.d}, p4/z, [sp, #0x5] 8475E077 ld1rb {z23.d}, p0/z, [x3, #0x35] 847EEAF6 ld1rb {z22.d}, p2/z, [x23, #0x3e] // ld1rb_z_p_bi_u8 1000010001xxxxxx100xxxxxxxxxxxxx 84408C05 ld1rb {z5.b}, p3/z, [x0] 84668F7B ld1rb {z27.b}, p3/z, [x27, #0x26] 8478837F ld1rb {z31.b}, p0/z, [x27, #0x38] 844699DB ld1rb {z27.b}, p6/z, [x14, #0x6] 846A9B9B ld1rb {z27.b}, p6/z, [x28, #0x2a] 844B9907 ld1rb {z7.b}, p6/z, [x8, #0xb] 84689B67 ld1rb {z7.b}, p6/z, [x27, #0x28] 84729413 ld1rb {z19.b}, p5/z, [x0, #0x32] 844485C5 ld1rb {z5.b}, p1/z, [x14, #0x4] 84758EB7 ld1rb {z23.b}, p3/z, [x21, #0x35] 8463869E ld1rb {z30.b}, p1/z, [x20, #0x23] 84408BFC ld1rb {z28.b}, p2/z, [sp] 844782B5 ld1rb {z21.b}, p0/z, [x21, #0x7] 84759E4D ld1rb {z13.b}, p7/z, [x18, #0x35] 84768B54 ld1rb {z20.b}, p2/z, [x26, #0x36] 84529CA9 ld1rb {z9.b}, p7/z, [x5, #0x12] // ld1rd_z_p_bi_u64 1000010111xxxxxx111xxxxxxxxxxxxx 85CAEB0F ld1rd {z15.d}, p2/z, [x24, #0x50] 85EFEBD5 ld1rd {z21.d}, p2/z, [x30, #0x178] 85DEED94 ld1rd {z20.d}, p3/z, [x12, #0xf0] 85F0F932 ld1rd {z18.d}, p6/z, [x9, #0x180] 85E5E7D2 ld1rd {z18.d}, p1/z, [x30, #0x128] 85DBFD94 ld1rd {z20.d}, p7/z, [x12, #0xd8] 85E5E165 ld1rd {z5.d}, p0/z, [x11, #0x128] 85E5E7F3 ld1rd {z19.d}, p1/z, [sp, #0x128] 85F7F72B ld1rd {z11.d}, p5/z, [x25, #0x1b8] 85EBFDDA ld1rd {z26.d}, p7/z, [x14, #0x158] 85DFEB34 ld1rd {z20.d}, p2/z, [x25, #0xf8] 85FDF91E ld1rd {z30.d}, p6/z, [x8, #0x1e8] 85D8E1D5 ld1rd {z21.d}, p0/z, [x14, #0xc0] 85D0EEE7 ld1rd {z7.d}, p3/z, [x23, #0x80] 85FCFBDE ld1rd {z30.d}, p6/z, [x30, #0x1e0] 85FBE4A4 ld1rd {z4.d}, p1/z, [x5, #0x1d8] // ld1rh_z_p_bi_u16 1000010011xxxxxx101xxxxxxxxxxxxx 84D2AD90 ld1rh {z16.h}, p3/z, [x12, #0x24] 84C2AA2E ld1rh {z14.h}, p2/z, [x17, #0x4] 84F8A67B ld1rh {z27.h}, p1/z, [x19, #0x70] 84C9A991 ld1rh {z17.h}, p2/z, [x12, #0x12] 84E8A414 ld1rh {z20.h}, p1/z, [x0, #0x50] 84C4A9B1 ld1rh {z17.h}, p2/z, [x13, #0x8] 84DEB91C ld1rh {z28.h}, p6/z, [x8, #0x3c] 84CEADD3 ld1rh {z19.h}, p3/z, [x14, #0x1c] 84CFB433 ld1rh {z19.h}, p5/z, [x1, #0x1e] 84F2A065 ld1rh {z5.h}, p0/z, [x3, #0x64] 84D7A504 ld1rh {z4.h}, p1/z, [x8, #0x2e] 84CEBE39 ld1rh {z25.h}, p7/z, [x17, #0x1c] 84E8BDA2 ld1rh {z2.h}, p7/z, [x13, #0x50] 84ECA89C ld1rh {z28.h}, p2/z, [x4, #0x58] 84C3A704 ld1rh {z4.h}, p1/z, [x24, #0x6] 84FDA087 ld1rh {z7.h}, p0/z, [x4, #0x7a] // ld1rh_z_p_bi_u32 1000010011xxxxxx110xxxxxxxxxxxxx 84F6D571 ld1rh {z17.s}, p5/z, [x11, #0x6c] 84D3CA8F ld1rh {z15.s}, p2/z, [x20, #0x26] 84F2D351 ld1rh {z17.s}, p4/z, [x26, #0x64] 84E9D828 ld1rh {z8.s}, p6/z, [x1, #0x52] 84C9CAC0 ld1rh {z0.s}, p2/z, [x22, #0x12] 84C3DE18 ld1rh {z24.s}, p7/z, [x16, #0x6] 84CCD28D ld1rh {z13.s}, p4/z, [x20, #0x18] 84DAC152 ld1rh {z18.s}, p0/z, [x10, #0x34] 84E3DD06 ld1rh {z6.s}, p7/z, [x8, #0x46] 84C6C57C ld1rh {z28.s}, p1/z, [x11, #0xc] 84E9C1D8 ld1rh {z24.s}, p0/z, [x14, #0x52] 84D1D7CA ld1rh {z10.s}, p5/z, [x30, #0x22] 84E5D4D2 ld1rh {z18.s}, p5/z, [x6, #0x4a] 84D8C412 ld1rh {z18.s}, p1/z, [x0, #0x30] 84C2D3B5 ld1rh {z21.s}, p4/z, [x29, #0x4] 84C0D0FA ld1rh {z26.s}, p4/z, [x7] // ld1rh_z_p_bi_u64 1000010011xxxxxx111xxxxxxxxxxxxx 84FCF88C ld1rh {z12.d}, p6/z, [x4, #0x78] 84CAE215 ld1rh {z21.d}, p0/z, [x16, #0x14] 84DDFBD5 ld1rh {z21.d}, p6/z, [x30, #0x3a] 84C7F691 ld1rh {z17.d}, p5/z, [x20, #0xe] 84C9F8D1 ld1rh {z17.d}, p6/z, [x6, #0x12] 84FDEDAB ld1rh {z11.d}, p3/z, [x13, #0x7a] 84DFEBD1 ld1rh {z17.d}, p2/z, [x30, #0x3e] 84F7E9F0 ld1rh {z16.d}, p2/z, [x15, #0x6e] 84D7E910 ld1rh {z16.d}, p2/z, [x8, #0x2e] 84D7EF18 ld1rh {z24.d}, p3/z, [x24, #0x2e] 84F1E672 ld1rh {z18.d}, p1/z, [x19, #0x62] 84CAE53B ld1rh {z27.d}, p1/z, [x9, #0x14] 84E9F1E9 ld1rh {z9.d}, p4/z, [x15, #0x52] 84E2E695 ld1rh {z21.d}, p1/z, [x20, #0x44] 84E2EC1B ld1rh {z27.d}, p3/z, [x0, #0x44] 84EDEE84 ld1rh {z4.d}, p3/z, [x20, #0x5a] // ld1rob_z_p_bi_u8 101001000010xxxx001xxxxxxxxxxxxx // ld1rob_z_p_br_contiguous 10100100001xxxxx000xxxxxxxxxxxxx // ld1rod_z_p_bi_u64 101001011010xxxx001xxxxxxxxxxxxx // ld1rod_z_p_br_contiguous 10100101101xxxxx000xxxxxxxxxxxxx // ld1roh_z_p_bi_u16 101001001010xxxx001xxxxxxxxxxxxx // ld1roh_z_p_br_contiguous 10100100101xxxxx000xxxxxxxxxxxxx // ld1row_z_p_bi_u32 101001010010xxxx001xxxxxxxxxxxxx // ld1row_z_p_br_contiguous 10100101001xxxxx000xxxxxxxxxxxxx // ld1rqb_z_p_bi_u8 101001000000xxxx001xxxxxxxxxxxxx A40E2A74 ld1rqb {z20.b}, p2/z, [x19, #-32] A40F21FA ld1rqb {z26.b}, p0/z, [x15, #-16] A40D2EC4 ld1rqb {z4.b}, p3/z, [x22, #-48] A4042A2F ld1rqb {z15.b}, p2/z, [x17, #0x40] A40A28B1 ld1rqb {z17.b}, p2/z, [x5, #-96] A40436FE ld1rqb {z30.b}, p5/z, [x23, #0x40] A4092D54 ld1rqb {z20.b}, p3/z, [x10, #-112] A4073F6A ld1rqb {z10.b}, p7/z, [x27, #0x70] A4022143 ld1rqb {z3.b}, p0/z, [x10, #0x20] A40829B2 ld1rqb {z18.b}, p2/z, [x13, #-128] A401213C ld1rqb {z28.b}, p0/z, [x9, #0x10] A4002677 ld1rqb {z23.b}, p1/z, [x19] A4072F0A ld1rqb {z10.b}, p3/z, [x24, #0x70] A4032DC6 ld1rqb {z6.b}, p3/z, [x14, #0x30] A4082F76 ld1rqb {z22.b}, p3/z, [x27, #-128] A4012631 ld1rqb {z17.b}, p1/z, [x17, #0x10] // ld1rqb_z_p_br_contiguous 10100100000xxxxx000xxxxxxxxxxxxx A401083C ld1rqb {z28.b}, p2/z, [x1, x1] A40903A8 ld1rqb {z8.b}, p0/z, [x29, x9] A40514B2 ld1rqb {z18.b}, p5/z, [x5, x5] A40C0A5E ld1rqb {z30.b}, p2/z, [x18, x12] A4091DCD ld1rqb {z13.b}, p7/z, [x14, x9] A40B05B2 ld1rqb {z18.b}, p1/z, [x13, x11] A4000E36 ld1rqb {z22.b}, p3/z, [x17, x0] A4070680 ld1rqb {z0.b}, p1/z, [x20, x7] A41E10B2 ld1rqb {z18.b}, p4/z, [x5, x30] A4151DA0 ld1rqb {z0.b}, p7/z, [x13, x21] A4030709 ld1rqb {z9.b}, p1/z, [x24, x3] A4161BA6 ld1rqb {z6.b}, p6/z, [x29, x22] A4180BB7 ld1rqb {z23.b}, p2/z, [x29, x24] A4061D8C ld1rqb {z12.b}, p7/z, [x12, x6] A4041366 ld1rqb {z6.b}, p4/z, [x27, x4] A41D0040 ld1rqb {z0.b}, p0/z, [x2, x29] // ld1rqd_z_p_bi_u64 101001011000xxxx001xxxxxxxxxxxxx A58039BA ld1rqd {z26.d}, p6/z, [x13] A58C233D ld1rqd {z29.d}, p0/z, [x25, #-64] A58A3CEA ld1rqd {z10.d}, p7/z, [x7, #-96] A584280E ld1rqd {z14.d}, p2/z, [x0, #0x40] A5833BA5 ld1rqd {z5.d}, p6/z, [x29, #0x30] A58C3353 ld1rqd {z19.d}, p4/z, [x26, #-64] A58F2BFE ld1rqd {z30.d}, p2/z, [sp, #-16] A58A2A9B ld1rqd {z27.d}, p2/z, [x20, #-96] A5822B1F ld1rqd {z31.d}, p2/z, [x24, #0x20] A58C3A85 ld1rqd {z5.d}, p6/z, [x20, #-64] A58A23E6 ld1rqd {z6.d}, p0/z, [sp, #-96] A58F2B1A ld1rqd {z26.d}, p2/z, [x24, #-16] A5853C88 ld1rqd {z8.d}, p7/z, [x4, #0x50] A5823004 ld1rqd {z4.d}, p4/z, [x0, #0x20] A5813CF0 ld1rqd {z16.d}, p7/z, [x7, #0x10] A58A3B96 ld1rqd {z22.d}, p6/z, [x28, #-96] // ld1rqd_z_p_br_contiguous 10100101100xxxxx000xxxxxxxxxxxxx A593084C ld1rqd {z12.d}, p2/z, [x2, x19, lsl #0x3] A584192E ld1rqd {z14.d}, p6/z, [x9, x4, lsl #0x3] A58A1DFD ld1rqd {z29.d}, p7/z, [x15, x10, lsl #0x3] A5811EE9 ld1rqd {z9.d}, p7/z, [x23, x1, lsl #0x3] A58F0077 ld1rqd {z23.d}, p0/z, [x3, x15, lsl #0x3] A58D1E2F ld1rqd {z15.d}, p7/z, [x17, x13, lsl #0x3] A599101F ld1rqd {z31.d}, p4/z, [x0, x25, lsl #0x3] A5880811 ld1rqd {z17.d}, p2/z, [x0, x8, lsl #0x3] A5910FBE ld1rqd {z30.d}, p3/z, [x29, x17, lsl #0x3] A581135D ld1rqd {z29.d}, p4/z, [x26, x1, lsl #0x3] A5831D2B ld1rqd {z11.d}, p7/z, [x9, x3, lsl #0x3] A597015A ld1rqd {z26.d}, p0/z, [x10, x23, lsl #0x3] A5911B52 ld1rqd {z18.d}, p6/z, [x26, x17, lsl #0x3] A5861DF1 ld1rqd {z17.d}, p7/z, [x15, x6, lsl #0x3] A58F145C ld1rqd {z28.d}, p5/z, [x2, x15, lsl #0x3] A588152B ld1rqd {z11.d}, p5/z, [x9, x8, lsl #0x3] // ld1rqh_z_p_bi_u16 101001001000xxxx001xxxxxxxxxxxxx A48537DF ld1rqh {z31.h}, p5/z, [x30, #0x50] A48B3DEB ld1rqh {z11.h}, p7/z, [x15, #-80] A48D2526 ld1rqh {z6.h}, p1/z, [x9, #-48] A4812A12 ld1rqh {z18.h}, p2/z, [x16, #0x10] A48C280D ld1rqh {z13.h}, p2/z, [x0, #-64] A4852C1A ld1rqh {z26.h}, p3/z, [x0, #0x50] A4872BAD ld1rqh {z13.h}, p2/z, [x29, #0x70] A48C2C30 ld1rqh {z16.h}, p3/z, [x1, #-64] A48F32D2 ld1rqh {z18.h}, p4/z, [x22, #-16] A48528D9 ld1rqh {z25.h}, p2/z, [x6, #0x50] A4853D04 ld1rqh {z4.h}, p7/z, [x8, #0x50] A484261E ld1rqh {z30.h}, p1/z, [x16, #0x40] A48F2322 ld1rqh {z2.h}, p0/z, [x25, #-16] A4883335 ld1rqh {z21.h}, p4/z, [x25, #-128] A4892119 ld1rqh {z25.h}, p0/z, [x8, #-112] A48335A2 ld1rqh {z2.h}, p5/z, [x13, #0x30] // ld1rqh_z_p_br_contiguous 10100100100xxxxx000xxxxxxxxxxxxx A48409A3 ld1rqh {z3.h}, p2/z, [x13, x4, lsl #0x1] A48012D3 ld1rqh {z19.h}, p4/z, [x22, x0, lsl #0x1] A496169C ld1rqh {z28.h}, p5/z, [x20, x22, lsl #0x1] A49E06F1 ld1rqh {z17.h}, p1/z, [x23, x30, lsl #0x1] A4850E97 ld1rqh {z23.h}, p3/z, [x20, x5, lsl #0x1] A48817D4 ld1rqh {z20.h}, p5/z, [x30, x8, lsl #0x1] A49705BE ld1rqh {z30.h}, p1/z, [x13, x23, lsl #0x1] A48611B9 ld1rqh {z25.h}, p4/z, [x13, x6, lsl #0x1] A49A1DCE ld1rqh {z14.h}, p7/z, [x14, x26, lsl #0x1] A49B046D ld1rqh {z13.h}, p1/z, [x3, x27, lsl #0x1] A49211F9 ld1rqh {z25.h}, p4/z, [x15, x18, lsl #0x1] A48710FD ld1rqh {z29.h}, p4/z, [x7, x7, lsl #0x1] A491101E ld1rqh {z30.h}, p4/z, [x0, x17, lsl #0x1] A49C1E13 ld1rqh {z19.h}, p7/z, [x16, x28, lsl #0x1] A4890002 ld1rqh {z2.h}, p0/z, [x0, x9, lsl #0x1] A4860892 ld1rqh {z18.h}, p2/z, [x4, x6, lsl #0x1] // ld1rqw_z_p_bi_u32 101001010000xxxx001xxxxxxxxxxxxx A50F3448 ld1rqw {z8.s}, p5/z, [x2, #-16] A50436BD ld1rqw {z29.s}, p5/z, [x21, #0x40] A50C3023 ld1rqw {z3.s}, p4/z, [x1, #-64] A5062665 ld1rqw {z5.s}, p1/z, [x19, #0x60] A50D2A96 ld1rqw {z22.s}, p2/z, [x20, #-48] A5042533 ld1rqw {z19.s}, p1/z, [x9, #0x40] A50E28F9 ld1rqw {z25.s}, p2/z, [x7, #-32] A5012EDA ld1rqw {z26.s}, p3/z, [x22, #0x10] A50935D7 ld1rqw {z23.s}, p5/z, [x14, #-112] A5012183 ld1rqw {z3.s}, p0/z, [x12, #0x10] A5043298 ld1rqw {z24.s}, p4/z, [x20, #0x40] A5082C98 ld1rqw {z24.s}, p3/z, [x4, #-128] A5093A4A ld1rqw {z10.s}, p6/z, [x18, #-112] A503362E ld1rqw {z14.s}, p5/z, [x17, #0x30] A501362B ld1rqw {z11.s}, p5/z, [x17, #0x10] A50830E4 ld1rqw {z4.s}, p4/z, [x7, #-128] // ld1rqw_z_p_br_contiguous 10100101000xxxxx000xxxxxxxxxxxxx A51917DA ld1rqw {z26.s}, p5/z, [x30, x25, lsl #0x2] A51C14BF ld1rqw {z31.s}, p5/z, [x5, x28, lsl #0x2] A51C0475 ld1rqw {z21.s}, p1/z, [x3, x28, lsl #0x2] A5041503 ld1rqw {z3.s}, p5/z, [x8, x4, lsl #0x2] A5130E07 ld1rqw {z7.s}, p3/z, [x16, x19, lsl #0x2] A5041094 ld1rqw {z20.s}, p4/z, [x4, x4, lsl #0x2] A501127C ld1rqw {z28.s}, p4/z, [x19, x1, lsl #0x2] A50E0FFD ld1rqw {z29.s}, p3/z, [sp, x14, lsl #0x2] A5160FFB ld1rqw {z27.s}, p3/z, [sp, x22, lsl #0x2] A50B123D ld1rqw {z29.s}, p4/z, [x17, x11, lsl #0x2] A5171CBD ld1rqw {z29.s}, p7/z, [x5, x23, lsl #0x2] A501029C ld1rqw {z28.s}, p0/z, [x20, x1, lsl #0x2] A5171375 ld1rqw {z21.s}, p4/z, [x27, x23, lsl #0x2] A51714C1 ld1rqw {z1.s}, p5/z, [x6, x23, lsl #0x2] A5121618 ld1rqw {z24.s}, p5/z, [x16, x18, lsl #0x2] A5000A36 ld1rqw {z22.s}, p2/z, [x17, x0, lsl #0x2] // ld1rsb_z_p_bi_s16 1000010111xxxxxx110xxxxxxxxxxxxx 85C2DF9D ld1rsb {z29.h}, p7/z, [x28, #0x2] 85E3DBB8 ld1rsb {z24.h}, p6/z, [x29, #0x23] 85DBDD2E ld1rsb {z14.h}, p7/z, [x9, #0x1b] 85D2D9F2 ld1rsb {z18.h}, p6/z, [x15, #0x12] 85DBCEE4 ld1rsb {z4.h}, p3/z, [x23, #0x1b] 85D1C999 ld1rsb {z25.h}, p2/z, [x12, #0x11] 85E1D7C3 ld1rsb {z3.h}, p5/z, [x30, #0x21] 85C3D6DB ld1rsb {z27.h}, p5/z, [x22, #0x3] 85E5DA1E ld1rsb {z30.h}, p6/z, [x16, #0x25] 85F4CB57 ld1rsb {z23.h}, p2/z, [x26, #0x34] 85FDD6EB ld1rsb {z11.h}, p5/z, [x23, #0x3d] 85DBC88D ld1rsb {z13.h}, p2/z, [x4, #0x1b] 85D2D7DE ld1rsb {z30.h}, p5/z, [x30, #0x12] 85CFD554 ld1rsb {z20.h}, p5/z, [x10, #0xf] 85EECEDB ld1rsb {z27.h}, p3/z, [x22, #0x2e] 85E0C40A ld1rsb {z10.h}, p1/z, [x0, #0x20] // ld1rsb_z_p_bi_s32 1000010111xxxxxx101xxxxxxxxxxxxx 85EDA1A5 ld1rsb {z5.s}, p0/z, [x13, #0x2d] 85F6A896 ld1rsb {z22.s}, p2/z, [x4, #0x36] 85D0B924 ld1rsb {z4.s}, p6/z, [x9, #0x10] 85CBA4E4 ld1rsb {z4.s}, p1/z, [x7, #0xb] 85EDB9EB ld1rsb {z11.s}, p6/z, [x15, #0x2d] 85F7B0A9 ld1rsb {z9.s}, p4/z, [x5, #0x37] 85EEBFFF ld1rsb {z31.s}, p7/z, [sp, #0x2e] 85F9BB40 ld1rsb {z0.s}, p6/z, [x26, #0x39] 85F0AACD ld1rsb {z13.s}, p2/z, [x22, #0x30] 85FDA6EB ld1rsb {z11.s}, p1/z, [x23, #0x3d] 85E5A390 ld1rsb {z16.s}, p0/z, [x28, #0x25] 85E5B31B ld1rsb {z27.s}, p4/z, [x24, #0x25] 85E4A0D5 ld1rsb {z21.s}, p0/z, [x6, #0x24] 85C6B2C4 ld1rsb {z4.s}, p4/z, [x22, #0x6] 85FDBA33 ld1rsb {z19.s}, p6/z, [x17, #0x3d] 85F5B20A ld1rsb {z10.s}, p4/z, [x16, #0x35] // ld1rsb_z_p_bi_s64 1000010111xxxxxx100xxxxxxxxxxxxx 85C18AFE ld1rsb {z30.d}, p2/z, [x23, #0x1] 85F48CA7 ld1rsb {z7.d}, p3/z, [x5, #0x34] 85E2857A ld1rsb {z26.d}, p1/z, [x11, #0x22] 85D88B4A ld1rsb {z10.d}, p2/z, [x26, #0x18] 85FB8357 ld1rsb {z23.d}, p0/z, [x26, #0x3b] 85EE9D2A ld1rsb {z10.d}, p7/z, [x9, #0x2e] 85E185EA ld1rsb {z10.d}, p1/z, [x15, #0x21] 85E48CE2 ld1rsb {z2.d}, p3/z, [x7, #0x24] 85EF86EB ld1rsb {z11.d}, p1/z, [x23, #0x2f] 85C69DC5 ld1rsb {z5.d}, p7/z, [x14, #0x6] 85C19DCE ld1rsb {z14.d}, p7/z, [x14, #0x1] 85C98601 ld1rsb {z1.d}, p1/z, [x16, #0x9] 85EE88E3 ld1rsb {z3.d}, p2/z, [x7, #0x2e] 85D99CAE ld1rsb {z14.d}, p7/z, [x5, #0x19] 85DE95A2 ld1rsb {z2.d}, p5/z, [x13, #0x1e] 85CF99E1 ld1rsb {z1.d}, p6/z, [x15, #0xf] // ld1rsh_z_p_bi_s32 1000010101xxxxxx101xxxxxxxxxxxxx 8557AE66 ld1rsh {z6.s}, p3/z, [x19, #0x2e] 8561A405 ld1rsh {z5.s}, p1/z, [x0, #0x42] 855BAA24 ld1rsh {z4.s}, p2/z, [x17, #0x36] 8557B7C2 ld1rsh {z2.s}, p5/z, [x30, #0x2e] 8544ADDE ld1rsh {z30.s}, p3/z, [x14, #0x8] 8540B9E1 ld1rsh {z1.s}, p6/z, [x15] 8556B3BB ld1rsh {z27.s}, p4/z, [x29, #0x2c] 8543B5EC ld1rsh {z12.s}, p5/z, [x15, #0x6] 8545ADDB ld1rsh {z27.s}, p3/z, [x14, #0xa] 8577B791 ld1rsh {z17.s}, p5/z, [x28, #0x6e] 8560A9DE ld1rsh {z30.s}, p2/z, [x14, #0x40] 8549BF10 ld1rsh {z16.s}, p7/z, [x24, #0x12] 8542B296 ld1rsh {z22.s}, p4/z, [x20, #0x4] 854EBF39 ld1rsh {z25.s}, p7/z, [x25, #0x1c] 8552ABF9 ld1rsh {z25.s}, p2/z, [sp, #0x24] 857BB53A ld1rsh {z26.s}, p5/z, [x9, #0x76] // ld1rsh_z_p_bi_s64 1000010101xxxxxx100xxxxxxxxxxxxx 857E8062 ld1rsh {z2.d}, p0/z, [x3, #0x7c] 857882DE ld1rsh {z30.d}, p0/z, [x22, #0x70] 85459C43 ld1rsh {z3.d}, p7/z, [x2, #0xa] 85469720 ld1rsh {z0.d}, p5/z, [x25, #0xc] 857E9868 ld1rsh {z8.d}, p6/z, [x3, #0x7c] 854294D8 ld1rsh {z24.d}, p5/z, [x6, #0x4] 856C91CC ld1rsh {z12.d}, p4/z, [x14, #0x58] 85558771 ld1rsh {z17.d}, p1/z, [x27, #0x2a] 857E977E ld1rsh {z30.d}, p5/z, [x27, #0x7c] 8571821F ld1rsh {z31.d}, p0/z, [x16, #0x62] 85618916 ld1rsh {z22.d}, p2/z, [x8, #0x42] 854F980F ld1rsh {z15.d}, p6/z, [x0, #0x1e] 85669DBD ld1rsh {z29.d}, p7/z, [x13, #0x4c] 8558965B ld1rsh {z27.d}, p5/z, [x18, #0x30] 85639EFD ld1rsh {z29.d}, p7/z, [x23, #0x46] 85508961 ld1rsh {z1.d}, p2/z, [x11, #0x20] // ld1rsw_z_p_bi_s64 1000010011xxxxxx100xxxxxxxxxxxxx 84C09AAD ld1rsw {z13.d}, p6/z, [x21] 84C9987F ld1rsw {z31.d}, p6/z, [x3, #0x24] 84E5810E ld1rsw {z14.d}, p0/z, [x8, #0x94] 84CD9E53 ld1rsw {z19.d}, p7/z, [x18, #0x34] 84D68286 ld1rsw {z6.d}, p0/z, [x20, #0x58] 84C29093 ld1rsw {z19.d}, p4/z, [x4, #0x8] 84CA8F2B ld1rsw {z11.d}, p3/z, [x25, #0x28] 84D19CD1 ld1rsw {z17.d}, p7/z, [x6, #0x44] 84DA8CC1 ld1rsw {z1.d}, p3/z, [x6, #0x68] 84FB907C ld1rsw {z28.d}, p4/z, [x3, #0xec] 84DF98FF ld1rsw {z31.d}, p6/z, [x7, #0x7c] 84F697EB ld1rsw {z11.d}, p5/z, [sp, #0xd8] 84D19542 ld1rsw {z2.d}, p5/z, [x10, #0x44] 84E0963C ld1rsw {z28.d}, p5/z, [x17, #0x80] 84C282FF ld1rsw {z31.d}, p0/z, [x23, #0x8] 84EF97FE ld1rsw {z30.d}, p5/z, [sp, #0xbc] // ld1rw_z_p_bi_u32 1000010101xxxxxx110xxxxxxxxxxxxx 8547D1BD ld1rw {z29.s}, p4/z, [x13, #0x1c] 856ECFC6 ld1rw {z6.s}, p3/z, [x30, #0xb8] 856BC6C2 ld1rw {z2.s}, p1/z, [x22, #0xac] 8568D683 ld1rw {z3.s}, p5/z, [x20, #0xa0] 8542C9C9 ld1rw {z9.s}, p2/z, [x14, #0x8] 8544CBF0 ld1rw {z16.s}, p2/z, [sp, #0x10] 854CD5A4 ld1rw {z4.s}, p5/z, [x13, #0x30] 8565C1E4 ld1rw {z4.s}, p0/z, [x15, #0x94] 8562C5AB ld1rw {z11.s}, p1/z, [x13, #0x88] 856AC0A6 ld1rw {z6.s}, p0/z, [x5, #0xa8] 855DD98A ld1rw {z10.s}, p6/z, [x12, #0x74] 8546D838 ld1rw {z24.s}, p6/z, [x1, #0x18] 8564CEBA ld1rw {z26.s}, p3/z, [x21, #0x90] 8576C3C7 ld1rw {z7.s}, p0/z, [x30, #0xd8] 8568CEC7 ld1rw {z7.s}, p3/z, [x22, #0xa0] 854CDCC3 ld1rw {z3.s}, p7/z, [x6, #0x30] // ld1rw_z_p_bi_u64 1000010101xxxxxx111xxxxxxxxxxxxx 856AE228 ld1rw {z8.d}, p0/z, [x17, #0xa8] 855EF1E2 ld1rw {z2.d}, p4/z, [x15, #0x78] 8573EDE3 ld1rw {z3.d}, p3/z, [x15, #0xcc] 8549E6EF ld1rw {z15.d}, p1/z, [x23, #0x24] 8545E50E ld1rw {z14.d}, p1/z, [x8, #0x14] 8579FF64 ld1rw {z4.d}, p7/z, [x27, #0xe4] 854BFA19 ld1rw {z25.d}, p6/z, [x16, #0x2c] 855FF2BB ld1rw {z27.d}, p4/z, [x21, #0x7c] 8549E200 ld1rw {z0.d}, p0/z, [x16, #0x24] 855DEB10 ld1rw {z16.d}, p2/z, [x24, #0x74] 8545F4A9 ld1rw {z9.d}, p5/z, [x5, #0x14] 8570F169 ld1rw {z9.d}, p4/z, [x11, #0xc0] 857EF3DD ld1rw {z29.d}, p4/z, [x30, #0xf8] 856BE81E ld1rw {z30.d}, p2/z, [x0, #0xac] 8557F941 ld1rw {z1.d}, p6/z, [x10, #0x5c] 857EECBE ld1rw {z30.d}, p3/z, [x5, #0xf8] // ld1sb_z_p_ai_d 11000100001xxxxx100xxxxxxxxxxxxx C4248170 ld1sb {z16.d}, p0/z, [z11.d, #0x4] C42182E8 ld1sb {z8.d}, p0/z, [z23.d, #0x1] C43F905B ld1sb {z27.d}, p4/z, [z2.d, #0x1f] C42A802C ld1sb {z12.d}, p0/z, [z1.d, #0xa] C42F926C ld1sb {z12.d}, p4/z, [z19.d, #0xf] C4248252 ld1sb {z18.d}, p0/z, [z18.d, #0x4] C43981F0 ld1sb {z16.d}, p0/z, [z15.d, #0x19] C42E9556 ld1sb {z22.d}, p5/z, [z10.d, #0xe] C4338B2F ld1sb {z15.d}, p2/z, [z25.d, #0x13] C43396B4 ld1sb {z20.d}, p5/z, [z21.d, #0x13] C42E8F4A ld1sb {z10.d}, p3/z, [z26.d, #0xe] C43B9D65 ld1sb {z5.d}, p7/z, [z11.d, #0x1b] C4378285 ld1sb {z5.d}, p0/z, [z20.d, #0x17] C433804D ld1sb {z13.d}, p0/z, [z2.d, #0x13] C4338ED9 ld1sb {z25.d}, p3/z, [z22.d, #0x13] C4339ADD ld1sb {z29.d}, p6/z, [z22.d, #0x13] // ld1sb_z_p_ai_s 10000100001xxxxx100xxxxxxxxxxxxx 84288518 ld1sb {z24.s}, p1/z, [z8.s, #0x8] 84389E31 ld1sb {z17.s}, p7/z, [z17.s, #0x18] 84299B11 ld1sb {z17.s}, p6/z, [z24.s, #0x9] 84248A95 ld1sb {z21.s}, p2/z, [z20.s, #0x4] 84349A45 ld1sb {z5.s}, p6/z, [z18.s, #0x14] 84318ADB ld1sb {z27.s}, p2/z, [z22.s, #0x11] 843A82ED ld1sb {z13.s}, p0/z, [z23.s, #0x1a] 842893C2 ld1sb {z2.s}, p4/z, [z30.s, #0x8] 84369E06 ld1sb {z6.s}, p7/z, [z16.s, #0x16] 84258675 ld1sb {z21.s}, p1/z, [z19.s, #0x5] 842D94D5 ld1sb {z21.s}, p5/z, [z6.s, #0xd] 84299B8C ld1sb {z12.s}, p6/z, [z28.s, #0x9] 842099D4 ld1sb {z20.s}, p6/z, [z14.s] 843185FA ld1sb {z26.s}, p1/z, [z15.s, #0x11] 8424821E ld1sb {z30.s}, p0/z, [z16.s, #0x4] 84338012 ld1sb {z18.s}, p0/z, [z0.s, #0x13] // ld1sb_z_p_bi_s16 101001011100xxxx101xxxxxxxxxxxxx A5C6AFF3 ld1sb {z19.h}, p3/z, [sp, #0x6, mul vl] A5C8A606 ld1sb {z6.h}, p1/z, [x16, #-8, mul vl] A5C7B9BB ld1sb {z27.h}, p6/z, [x13, #0x7, mul vl] A5CCAB1A ld1sb {z26.h}, p2/z, [x24, #-4, mul vl] A5C8B6F1 ld1sb {z17.h}, p5/z, [x23, #-8, mul vl] A5CCA96C ld1sb {z12.h}, p2/z, [x11, #-4, mul vl] A5C0B02D ld1sb {z13.h}, p4/z, [x1] A5CEA870 ld1sb {z16.h}, p2/z, [x3, #-2, mul vl] A5C6B911 ld1sb {z17.h}, p6/z, [x8, #0x6, mul vl] A5CFB9E4 ld1sb {z4.h}, p6/z, [x15, #-1, mul vl] A5C8B37F ld1sb {z31.h}, p4/z, [x27, #-8, mul vl] A5C6B409 ld1sb {z9.h}, p5/z, [x0, #0x6, mul vl] A5C6BC9D ld1sb {z29.h}, p7/z, [x4, #0x6, mul vl] A5CEA3AA ld1sb {z10.h}, p0/z, [x29, #-2, mul vl] A5CDBA43 ld1sb {z3.h}, p6/z, [x18, #-3, mul vl] A5C6ABC2 ld1sb {z2.h}, p2/z, [x30, #0x6, mul vl] // ld1sb_z_p_bi_s32 101001011010xxxx101xxxxxxxxxxxxx A5A1BE2D ld1sb {z13.s}, p7/z, [x17, #0x1, mul vl] A5A7B435 ld1sb {z21.s}, p5/z, [x1, #0x7, mul vl] A5A9A245 ld1sb {z5.s}, p0/z, [x18, #-7, mul vl] A5A1A978 ld1sb {z24.s}, p2/z, [x11, #0x1, mul vl] A5A7BC90 ld1sb {z16.s}, p7/z, [x4, #0x7, mul vl] A5AAA71E ld1sb {z30.s}, p1/z, [x24, #-6, mul vl] A5A1A085 ld1sb {z5.s}, p0/z, [x4, #0x1, mul vl] A5ABAA58 ld1sb {z24.s}, p2/z, [x18, #-5, mul vl] A5ABB5DF ld1sb {z31.s}, p5/z, [x14, #-5, mul vl] A5AAA421 ld1sb {z1.s}, p1/z, [x1, #-6, mul vl] A5A5BC11 ld1sb {z17.s}, p7/z, [x0, #0x5, mul vl] A5A0B6A0 ld1sb {z0.s}, p5/z, [x21] A5A9A3A2 ld1sb {z2.s}, p0/z, [x29, #-7, mul vl] A5ADBC3B ld1sb {z27.s}, p7/z, [x1, #-3, mul vl] A5A9A1DB ld1sb {z27.s}, p0/z, [x14, #-7, mul vl] A5ACA746 ld1sb {z6.s}, p1/z, [x26, #-4, mul vl] // ld1sb_z_p_bi_s64 101001011000xxxx101xxxxxxxxxxxxx A586AD98 ld1sb {z24.d}, p3/z, [x12, #0x6, mul vl] A58DB066 ld1sb {z6.d}, p4/z, [x3, #-3, mul vl] A583A08F ld1sb {z15.d}, p0/z, [x4, #0x3, mul vl] A583BC9D ld1sb {z29.d}, p7/z, [x4, #0x3, mul vl] A585B3DB ld1sb {z27.d}, p4/z, [x30, #0x5, mul vl] A58FADF2 ld1sb {z18.d}, p3/z, [x15, #-1, mul vl] A58BB915 ld1sb {z21.d}, p6/z, [x8, #-5, mul vl] A584B9E6 ld1sb {z6.d}, p6/z, [x15, #0x4, mul vl] A58ABD56 ld1sb {z22.d}, p7/z, [x10, #-6, mul vl] A58BB874 ld1sb {z20.d}, p6/z, [x3, #-5, mul vl] A588A1A1 ld1sb {z1.d}, p0/z, [x13, #-8, mul vl] A583AAAD ld1sb {z13.d}, p2/z, [x21, #0x3, mul vl] A58FB962 ld1sb {z2.d}, p6/z, [x11, #-1, mul vl] A583B785 ld1sb {z5.d}, p5/z, [x28, #0x3, mul vl] A582B629 ld1sb {z9.d}, p5/z, [x17, #0x2, mul vl] A583A648 ld1sb {z8.d}, p1/z, [x18, #0x3, mul vl] // ld1sb_z_p_br_s16 10100101110xxxxx010xxxxxxxxxxxxx A5C759EC ld1sb {z12.h}, p6/z, [x15, x7] A5D6521B ld1sb {z27.h}, p4/z, [x16, x22] A5C35254 ld1sb {z20.h}, p4/z, [x18, x3] A5CA436B ld1sb {z11.h}, p0/z, [x27, x10] A5D15A9C ld1sb {z28.h}, p6/z, [x20, x17] A5DC4C73 ld1sb {z19.h}, p3/z, [x3, x28] A5D14620 ld1sb {z0.h}, p1/z, [x17, x17] A5D549BF ld1sb {z31.h}, p2/z, [x13, x21] A5C957D7 ld1sb {z23.h}, p5/z, [x30, x9] A5C54519 ld1sb {z25.h}, p1/z, [x8, x5] A5C54EC1 ld1sb {z1.h}, p3/z, [x22, x5] A5D44E70 ld1sb {z16.h}, p3/z, [x19, x20] A5D34E4A ld1sb {z10.h}, p3/z, [x18, x19] A5CF5738 ld1sb {z24.h}, p5/z, [x25, x15] A5DB480C ld1sb {z12.h}, p2/z, [x0, x27] A5D14BC9 ld1sb {z9.h}, p2/z, [x30, x17] // ld1sb_z_p_br_s32 10100101101xxxxx010xxxxxxxxxxxxx A5A05C2B ld1sb {z11.s}, p7/z, [x1, x0] A5B34FAB ld1sb {z11.s}, p3/z, [x29, x19] A5AE40C6 ld1sb {z6.s}, p0/z, [x6, x14] A5B64549 ld1sb {z9.s}, p1/z, [x10, x22] A5AD4CEF ld1sb {z15.s}, p3/z, [x7, x13] A5BC49C0 ld1sb {z0.s}, p2/z, [x14, x28] A5BB4CB7 ld1sb {z23.s}, p3/z, [x5, x27] A5AD4978 ld1sb {z24.s}, p2/z, [x11, x13] A5BA5875 ld1sb {z21.s}, p6/z, [x3, x26] A5BA402B ld1sb {z11.s}, p0/z, [x1, x26] A5B845A9 ld1sb {z9.s}, p1/z, [x13, x24] A5AA4388 ld1sb {z8.s}, p0/z, [x28, x10] A5A05BBB ld1sb {z27.s}, p6/z, [x29, x0] A5A448F3 ld1sb {z19.s}, p2/z, [x7, x4] A5AE4AAB ld1sb {z11.s}, p2/z, [x21, x14] A5AF44E9 ld1sb {z9.s}, p1/z, [x7, x15] // ld1sb_z_p_br_s64 10100101100xxxxx010xxxxxxxxxxxxx A5915356 ld1sb {z22.d}, p4/z, [x26, x17] A59645AE ld1sb {z14.d}, p1/z, [x13, x22] A5955F56 ld1sb {z22.d}, p7/z, [x26, x21] A5944398 ld1sb {z24.d}, p0/z, [x28, x20] A5964886 ld1sb {z6.d}, p2/z, [x4, x22] A5954BF2 ld1sb {z18.d}, p2/z, [sp, x21] A58C584B ld1sb {z11.d}, p6/z, [x2, x12] A59C4329 ld1sb {z9.d}, p0/z, [x25, x28] A583485A ld1sb {z26.d}, p2/z, [x2, x3] A59759D2 ld1sb {z18.d}, p6/z, [x14, x23] A5884439 ld1sb {z25.d}, p1/z, [x1, x8] A58754B5 ld1sb {z21.d}, p5/z, [x5, x7] A58E45F5 ld1sb {z21.d}, p1/z, [x15, x14] A59A5350 ld1sb {z16.d}, p4/z, [x26, x26] A59D439C ld1sb {z28.d}, p0/z, [x28, x29] A59C5CDC ld1sb {z28.d}, p7/z, [x6, x28] // ld1sb_z_p_bz_d_64_unscaled 11000100010xxxxx100xxxxxxxxxxxxx C45B9A1F ld1sb {z31.d}, p6/z, [x16, z27.d] C45B990E ld1sb {z14.d}, p6/z, [x8, z27.d] C45587D5 ld1sb {z21.d}, p1/z, [x30, z21.d] C44A800B ld1sb {z11.d}, p0/z, [x0, z10.d] C45E9BFD ld1sb {z29.d}, p6/z, [sp, z30.d] C4578984 ld1sb {z4.d}, p2/z, [x12, z23.d] C44C9C55 ld1sb {z21.d}, p7/z, [x2, z12.d] C44486F3 ld1sb {z19.d}, p1/z, [x23, z4.d] C44A9BCF ld1sb {z15.d}, p6/z, [x30, z10.d] C44197BF ld1sb {z31.d}, p5/z, [x29, z1.d] C45E9B6D ld1sb {z13.d}, p6/z, [x27, z30.d] C4419DEA ld1sb {z10.d}, p7/z, [x15, z1.d] C45C9D98 ld1sb {z24.d}, p7/z, [x12, z28.d] C44C9DB6 ld1sb {z22.d}, p7/z, [x13, z12.d] C44092D3 ld1sb {z19.d}, p4/z, [x22, z0.d] C44D98EA ld1sb {z10.d}, p6/z, [x7, z13.d] // ld1sb_z_p_bz_d_x32_unscaled 110001000x0xxxxx000xxxxxxxxxxxxx C4440D3D ld1sb {z29.d}, p3/z, [x9, z4.d, sxtw] C4540D3B ld1sb {z27.d}, p3/z, [x9, z20.d, sxtw] C41718ED ld1sb {z13.d}, p6/z, [x7, z23.d, uxtw] C41F1075 ld1sb {z21.d}, p4/z, [x3, z31.d, uxtw] C4050BED ld1sb {z13.d}, p2/z, [sp, z5.d, uxtw] C4141BCC ld1sb {z12.d}, p6/z, [x30, z20.d, uxtw] C447028F ld1sb {z15.d}, p0/z, [x20, z7.d, sxtw] C40B0297 ld1sb {z23.d}, p0/z, [x20, z11.d, uxtw] C4091593 ld1sb {z19.d}, p5/z, [x12, z9.d, uxtw] C4411E2E ld1sb {z14.d}, p7/z, [x17, z1.d, sxtw] C44618AE ld1sb {z14.d}, p6/z, [x5, z6.d, sxtw] C4130B4B ld1sb {z11.d}, p2/z, [x26, z19.d, uxtw] C41604B1 ld1sb {z17.d}, p1/z, [x5, z22.d, uxtw] C40705C2 ld1sb {z2.d}, p1/z, [x14, z7.d, uxtw] C4501622 ld1sb {z2.d}, p5/z, [x17, z16.d, sxtw] C41B0F03 ld1sb {z3.d}, p3/z, [x24, z27.d, uxtw] // ld1sb_z_p_bz_s_x32_unscaled 100001000x0xxxxx000xxxxxxxxxxxxx 844416C3 ld1sb {z3.s}, p5/z, [x22, z4.s, sxtw] 844A1A87 ld1sb {z7.s}, p6/z, [x20, z10.s, sxtw] 841A0F86 ld1sb {z6.s}, p3/z, [x28, z26.s, uxtw] 8442197C ld1sb {z28.s}, p6/z, [x11, z2.s, sxtw] 845B1923 ld1sb {z3.s}, p6/z, [x9, z27.s, sxtw] 84451A9E ld1sb {z30.s}, p6/z, [x20, z5.s, sxtw] 84170198 ld1sb {z24.s}, p0/z, [x12, z23.s, uxtw] 84561C74 ld1sb {z20.s}, p7/z, [x3, z22.s, sxtw] 84540D9B ld1sb {z27.s}, p3/z, [x12, z20.s, sxtw] 840F0F28 ld1sb {z8.s}, p3/z, [x25, z15.s, uxtw] 844E0A12 ld1sb {z18.s}, p2/z, [x16, z14.s, sxtw] 844318A0 ld1sb {z0.s}, p6/z, [x5, z3.s, sxtw] 840D1E3A ld1sb {z26.s}, p7/z, [x17, z13.s, uxtw] 84061801 ld1sb {z1.s}, p6/z, [x0, z6.s, uxtw] 84581F34 ld1sb {z20.s}, p7/z, [x25, z24.s, sxtw] 844911ED ld1sb {z13.s}, p4/z, [x15, z9.s, sxtw] // ld1sh_z_p_ai_d 11000100101xxxxx100xxxxxxxxxxxxx C4BB9E2D ld1sh {z13.d}, p7/z, [z17.d, #0x36] C4A79820 ld1sh {z0.d}, p6/z, [z1.d, #0xe] C4BA967C ld1sh {z28.d}, p5/z, [z19.d, #0x34] C4B789A3 ld1sh {z3.d}, p2/z, [z13.d, #0x2e] C4B686F8 ld1sh {z24.d}, p1/z, [z23.d, #0x2c] C4BD8D0D ld1sh {z13.d}, p3/z, [z8.d, #0x3a] C4AF9DC5 ld1sh {z5.d}, p7/z, [z14.d, #0x1e] C4BB925E ld1sh {z30.d}, p4/z, [z18.d, #0x36] C4B39D5F ld1sh {z31.d}, p7/z, [z10.d, #0x26] C4BC9137 ld1sh {z23.d}, p4/z, [z9.d, #0x38] C4AC92D0 ld1sh {z16.d}, p4/z, [z22.d, #0x18] C4A981BF ld1sh {z31.d}, p0/z, [z13.d, #0x12] C4B282A3 ld1sh {z3.d}, p0/z, [z21.d, #0x24] C4AF9352 ld1sh {z18.d}, p4/z, [z26.d, #0x1e] C4B6865B ld1sh {z27.d}, p1/z, [z18.d, #0x2c] C4B686D2 ld1sh {z18.d}, p1/z, [z22.d, #0x2c] // ld1sh_z_p_ai_s 10000100101xxxxx100xxxxxxxxxxxxx 84B498B6 ld1sh {z22.s}, p6/z, [z5.s, #0x28] 84B5813A ld1sh {z26.s}, p0/z, [z9.s, #0x2a] 84B19BD9 ld1sh {z25.s}, p6/z, [z30.s, #0x22] 84A98CC2 ld1sh {z2.s}, p3/z, [z6.s, #0x12] 84AD8935 ld1sh {z21.s}, p2/z, [z9.s, #0x1a] 84BB8DCB ld1sh {z11.s}, p3/z, [z14.s, #0x36] 84A68509 ld1sh {z9.s}, p1/z, [z8.s, #0xc] 84BF92FB ld1sh {z27.s}, p4/z, [z23.s, #0x3e] 84B88B54 ld1sh {z20.s}, p2/z, [z26.s, #0x30] 84BE91A4 ld1sh {z4.s}, p4/z, [z13.s, #0x3c] 84AF8012 ld1sh {z18.s}, p0/z, [z0.s, #0x1e] 84A393F3 ld1sh {z19.s}, p4/z, [z31.s, #0x6] 84A58B11 ld1sh {z17.s}, p2/z, [z24.s, #0xa] 84A0963F ld1sh {z31.s}, p5/z, [z17.s] 84B383B2 ld1sh {z18.s}, p0/z, [z29.s, #0x26] 84A796A2 ld1sh {z2.s}, p5/z, [z21.s, #0xe] // ld1sh_z_p_bi_s32 101001010010xxxx101xxxxxxxxxxxxx A523A478 ld1sh {z24.s}, p1/z, [x3, #0x3, mul vl] A526A5A9 ld1sh {z9.s}, p1/z, [x13, #0x6, mul vl] A52AA96A ld1sh {z10.s}, p2/z, [x11, #-6, mul vl] A52BA382 ld1sh {z2.s}, p0/z, [x28, #-5, mul vl] A523A013 ld1sh {z19.s}, p0/z, [x0, #0x3, mul vl] A527A3B0 ld1sh {z16.s}, p0/z, [x29, #0x7, mul vl] A52FA4B2 ld1sh {z18.s}, p1/z, [x5, #-1, mul vl] A52CA7DC ld1sh {z28.s}, p1/z, [x30, #-4, mul vl] A524BE05 ld1sh {z5.s}, p7/z, [x16, #0x4, mul vl] A525A88A ld1sh {z10.s}, p2/z, [x4, #0x5, mul vl] A523AC95 ld1sh {z21.s}, p3/z, [x4, #0x3, mul vl] A52BB1EF ld1sh {z15.s}, p4/z, [x15, #-5, mul vl] A523A332 ld1sh {z18.s}, p0/z, [x25, #0x3, mul vl] A52CA246 ld1sh {z6.s}, p0/z, [x18, #-4, mul vl] A526A710 ld1sh {z16.s}, p1/z, [x24, #0x6, mul vl] A524BA12 ld1sh {z18.s}, p6/z, [x16, #0x4, mul vl] // ld1sh_z_p_bi_s64 101001010000xxxx101xxxxxxxxxxxxx A508B470 ld1sh {z16.d}, p5/z, [x3, #-8, mul vl] A50AA792 ld1sh {z18.d}, p1/z, [x28, #-6, mul vl] A50DB5F0 ld1sh {z16.d}, p5/z, [x15, #-3, mul vl] A504A82E ld1sh {z14.d}, p2/z, [x1, #0x4, mul vl] A50CAF25 ld1sh {z5.d}, p3/z, [x25, #-4, mul vl] A505AB77 ld1sh {z23.d}, p2/z, [x27, #0x5, mul vl] A50BAF27 ld1sh {z7.d}, p3/z, [x25, #-5, mul vl] A50CA938 ld1sh {z24.d}, p2/z, [x9, #-4, mul vl] A506A3A8 ld1sh {z8.d}, p0/z, [x29, #0x6, mul vl] A509A4E7 ld1sh {z7.d}, p1/z, [x7, #-7, mul vl] A503A9C0 ld1sh {z0.d}, p2/z, [x14, #0x3, mul vl] A50FB224 ld1sh {z4.d}, p4/z, [x17, #-1, mul vl] A50BB482 ld1sh {z2.d}, p5/z, [x4, #-5, mul vl] A503BBB0 ld1sh {z16.d}, p6/z, [x29, #0x3, mul vl] A50FA359 ld1sh {z25.d}, p0/z, [x26, #-1, mul vl] A503BA82 ld1sh {z2.d}, p6/z, [x20, #0x3, mul vl] // ld1sh_z_p_br_s32 10100101001xxxxx010xxxxxxxxxxxxx A53E50CB ld1sh {z11.s}, p4/z, [x6, x30, lsl #0x1] A520517B ld1sh {z27.s}, p4/z, [x11, x0, lsl #0x1] A5244D6B ld1sh {z11.s}, p3/z, [x11, x4, lsl #0x1] A5294B69 ld1sh {z9.s}, p2/z, [x27, x9, lsl #0x1] A52C5717 ld1sh {z23.s}, p5/z, [x24, x12, lsl #0x1] A53A5274 ld1sh {z20.s}, p4/z, [x19, x26, lsl #0x1] A52F5B03 ld1sh {z3.s}, p6/z, [x24, x15, lsl #0x1] A53D49C5 ld1sh {z5.s}, p2/z, [x14, x29, lsl #0x1] A52A4E2A ld1sh {z10.s}, p3/z, [x17, x10, lsl #0x1] A5335FDC ld1sh {z28.s}, p7/z, [x30, x19, lsl #0x1] A5314A6E ld1sh {z14.s}, p2/z, [x19, x17, lsl #0x1] A5275CBD ld1sh {z29.s}, p7/z, [x5, x7, lsl #0x1] A5304DE3 ld1sh {z3.s}, p3/z, [x15, x16, lsl #0x1] A5255F1D ld1sh {z29.s}, p7/z, [x24, x5, lsl #0x1] A53342C3 ld1sh {z3.s}, p0/z, [x22, x19, lsl #0x1] A52741E6 ld1sh {z6.s}, p0/z, [x15, x7, lsl #0x1] // ld1sh_z_p_br_s64 10100101000xxxxx010xxxxxxxxxxxxx A5145C1C ld1sh {z28.d}, p7/z, [x0, x20, lsl #0x1] A50E5974 ld1sh {z20.d}, p6/z, [x11, x14, lsl #0x1] A5134DB6 ld1sh {z22.d}, p3/z, [x13, x19, lsl #0x1] A51448AC ld1sh {z12.d}, p2/z, [x5, x20, lsl #0x1] A51A595F ld1sh {z31.d}, p6/z, [x10, x26, lsl #0x1] A5145CC5 ld1sh {z5.d}, p7/z, [x6, x20, lsl #0x1] A50C4F3F ld1sh {z31.d}, p3/z, [x25, x12, lsl #0x1] A515415B ld1sh {z27.d}, p0/z, [x10, x21, lsl #0x1] A50E4F04 ld1sh {z4.d}, p3/z, [x24, x14, lsl #0x1] A5075BAE ld1sh {z14.d}, p6/z, [x29, x7, lsl #0x1] A5074F77 ld1sh {z23.d}, p3/z, [x27, x7, lsl #0x1] A5185503 ld1sh {z3.d}, p5/z, [x8, x24, lsl #0x1] A50B58CD ld1sh {z13.d}, p6/z, [x6, x11, lsl #0x1] A50141AF ld1sh {z15.d}, p0/z, [x13, x1, lsl #0x1] A50B487A ld1sh {z26.d}, p2/z, [x3, x11, lsl #0x1] A503520E ld1sh {z14.d}, p4/z, [x16, x3, lsl #0x1] // ld1sh_z_p_bz_d_64_scaled 11000100111xxxxx100xxxxxxxxxxxxx C4FE892C ld1sh {z12.d}, p2/z, [x9, z30.d, lsl #0x1] C4FB9124 ld1sh {z4.d}, p4/z, [x9, z27.d, lsl #0x1] C4E49E09 ld1sh {z9.d}, p7/z, [x16, z4.d, lsl #0x1] C4E594DD ld1sh {z29.d}, p5/z, [x6, z5.d, lsl #0x1] C4E3978A ld1sh {z10.d}, p5/z, [x28, z3.d, lsl #0x1] C4E68D05 ld1sh {z5.d}, p3/z, [x8, z6.d, lsl #0x1] C4F28F59 ld1sh {z25.d}, p3/z, [x26, z18.d, lsl #0x1] C4F28A56 ld1sh {z22.d}, p2/z, [x18, z18.d, lsl #0x1] C4F2969C ld1sh {z28.d}, p5/z, [x20, z18.d, lsl #0x1] C4E282BF ld1sh {z31.d}, p0/z, [x21, z2.d, lsl #0x1] C4E89164 ld1sh {z4.d}, p4/z, [x11, z8.d, lsl #0x1] C4F58075 ld1sh {z21.d}, p0/z, [x3, z21.d, lsl #0x1] C4E69086 ld1sh {z6.d}, p4/z, [x4, z6.d, lsl #0x1] C4FB8A8B ld1sh {z11.d}, p2/z, [x20, z27.d, lsl #0x1] C4F188A8 ld1sh {z8.d}, p2/z, [x5, z17.d, lsl #0x1] C4EA850F ld1sh {z15.d}, p1/z, [x8, z10.d, lsl #0x1] // ld1sh_z_p_bz_d_64_unscaled 11000100110xxxxx100xxxxxxxxxxxxx C4D089D2 ld1sh {z18.d}, p2/z, [x14, z16.d] C4CE943D ld1sh {z29.d}, p5/z, [x1, z14.d] C4C09FFB ld1sh {z27.d}, p7/z, [sp, z0.d] C4CD89E1 ld1sh {z1.d}, p2/z, [x15, z13.d] C4C0992D ld1sh {z13.d}, p6/z, [x9, z0.d] C4CC98CA ld1sh {z10.d}, p6/z, [x6, z12.d] C4C99493 ld1sh {z19.d}, p5/z, [x4, z9.d] C4C380CC ld1sh {z12.d}, p0/z, [x6, z3.d] C4C088F7 ld1sh {z23.d}, p2/z, [x7, z0.d] C4D78B4C ld1sh {z12.d}, p2/z, [x26, z23.d] C4D29F50 ld1sh {z16.d}, p7/z, [x26, z18.d] C4D79847 ld1sh {z7.d}, p6/z, [x2, z23.d] C4D5915F ld1sh {z31.d}, p4/z, [x10, z21.d] C4C982F9 ld1sh {z25.d}, p0/z, [x23, z9.d] C4D29192 ld1sh {z18.d}, p4/z, [x12, z18.d] C4D58937 ld1sh {z23.d}, p2/z, [x9, z21.d] // ld1sh_z_p_bz_d_x32_scaled 110001001x1xxxxx000xxxxxxxxxxxxx C4AF1FB3 ld1sh {z19.d}, p7/z, [x29, z15.d, uxtw #0x1] C4E405D7 ld1sh {z23.d}, p1/z, [x14, z4.d, sxtw #0x1] C4BD1D80 ld1sh {z0.d}, p7/z, [x12, z29.d, uxtw #0x1] C4AB1F90 ld1sh {z16.d}, p7/z, [x28, z11.d, uxtw #0x1] C4B00A86 ld1sh {z6.d}, p2/z, [x20, z16.d, uxtw #0x1] C4AC1C1B ld1sh {z27.d}, p7/z, [x0, z12.d, uxtw #0x1] C4FE0B7F ld1sh {z31.d}, p2/z, [x27, z30.d, sxtw #0x1] C4A60F5F ld1sh {z31.d}, p3/z, [x26, z6.d, uxtw #0x1] C4B91CBF ld1sh {z31.d}, p7/z, [x5, z25.d, uxtw #0x1] C4FB1546 ld1sh {z6.d}, p5/z, [x10, z27.d, sxtw #0x1] C4B70689 ld1sh {z9.d}, p1/z, [x20, z23.d, uxtw #0x1] C4AF0C46 ld1sh {z6.d}, p3/z, [x2, z15.d, uxtw #0x1] C4F11AAD ld1sh {z13.d}, p6/z, [x21, z17.d, sxtw #0x1] C4E10100 ld1sh {z0.d}, p0/z, [x8, z1.d, sxtw #0x1] C4B311DE ld1sh {z30.d}, p4/z, [x14, z19.d, uxtw #0x1] C4B109BE ld1sh {z30.d}, p2/z, [x13, z17.d, uxtw #0x1] // ld1sh_z_p_bz_d_x32_unscaled 110001001x0xxxxx000xxxxxxxxxxxxx C486024D ld1sh {z13.d}, p0/z, [x18, z6.d, uxtw] C4D61E5B ld1sh {z27.d}, p7/z, [x18, z22.d, sxtw] C4C31309 ld1sh {z9.d}, p4/z, [x24, z3.d, sxtw] C4851FA2 ld1sh {z2.d}, p7/z, [x29, z5.d, uxtw] C4941476 ld1sh {z22.d}, p5/z, [x3, z20.d, uxtw] C4C71E4B ld1sh {z11.d}, p7/z, [x18, z7.d, sxtw] C49514B0 ld1sh {z16.d}, p5/z, [x5, z21.d, uxtw] C4980E5A ld1sh {z26.d}, p3/z, [x18, z24.d, uxtw] C4C30951 ld1sh {z17.d}, p2/z, [x10, z3.d, sxtw] C48C0387 ld1sh {z7.d}, p0/z, [x28, z12.d, uxtw] C48E15E2 ld1sh {z2.d}, p5/z, [x15, z14.d, uxtw] C4981AAA ld1sh {z10.d}, p6/z, [x21, z24.d, uxtw] C4C601A2 ld1sh {z2.d}, p0/z, [x13, z6.d, sxtw] C48E13E7 ld1sh {z7.d}, p4/z, [sp, z14.d, uxtw] C49C1EA8 ld1sh {z8.d}, p7/z, [x21, z28.d, uxtw] C49F0D8F ld1sh {z15.d}, p3/z, [x12, z31.d, uxtw] // ld1sh_z_p_bz_s_x32_scaled 100001001x1xxxxx000xxxxxxxxxxxxx 84BB1101 ld1sh {z1.s}, p4/z, [x8, z27.s, uxtw #0x1] 84EA085C ld1sh {z28.s}, p2/z, [x2, z10.s, sxtw #0x1] 84BD1539 ld1sh {z25.s}, p5/z, [x9, z29.s, uxtw #0x1] 84B31804 ld1sh {z4.s}, p6/z, [x0, z19.s, uxtw #0x1] 84AE0346 ld1sh {z6.s}, p0/z, [x26, z14.s, uxtw #0x1] 84EF1D81 ld1sh {z1.s}, p7/z, [x12, z15.s, sxtw #0x1] 84A81002 ld1sh {z2.s}, p4/z, [x0, z8.s, uxtw #0x1] 84B40756 ld1sh {z22.s}, p1/z, [x26, z20.s, uxtw #0x1] 84F30075 ld1sh {z21.s}, p0/z, [x3, z19.s, sxtw #0x1] 84E40931 ld1sh {z17.s}, p2/z, [x9, z4.s, sxtw #0x1] 84F9087A ld1sh {z26.s}, p2/z, [x3, z25.s, sxtw #0x1] 84F707BC ld1sh {z28.s}, p1/z, [x29, z23.s, sxtw #0x1] 84A60439 ld1sh {z25.s}, p1/z, [x1, z6.s, uxtw #0x1] 84E81939 ld1sh {z25.s}, p6/z, [x9, z8.s, sxtw #0x1] 84FD1DEF ld1sh {z15.s}, p7/z, [x15, z29.s, sxtw #0x1] 84A71563 ld1sh {z3.s}, p5/z, [x11, z7.s, uxtw #0x1] // ld1sh_z_p_bz_s_x32_unscaled 100001001x0xxxxx000xxxxxxxxxxxxx 848F1044 ld1sh {z4.s}, p4/z, [x2, z15.s, uxtw] 84D0022F ld1sh {z15.s}, p0/z, [x17, z16.s, sxtw] 8494198F ld1sh {z15.s}, p6/z, [x12, z20.s, uxtw] 84CA0A95 ld1sh {z21.s}, p2/z, [x20, z10.s, sxtw] 84D01DD2 ld1sh {z18.s}, p7/z, [x14, z16.s, sxtw] 84831B9B ld1sh {z27.s}, p6/z, [x28, z3.s, uxtw] 84D61EFC ld1sh {z28.s}, p7/z, [x23, z22.s, sxtw] 84CB091A ld1sh {z26.s}, p2/z, [x8, z11.s, sxtw] 84DF1A84 ld1sh {z4.s}, p6/z, [x20, z31.s, sxtw] 84C3149E ld1sh {z30.s}, p5/z, [x4, z3.s, sxtw] 84DC0249 ld1sh {z9.s}, p0/z, [x18, z28.s, sxtw] 84C0180F ld1sh {z15.s}, p6/z, [x0, z0.s, sxtw] 848305DB ld1sh {z27.s}, p1/z, [x14, z3.s, uxtw] 849C019E ld1sh {z30.s}, p0/z, [x12, z28.s, uxtw] 84C5199E ld1sh {z30.s}, p6/z, [x12, z5.s, sxtw] 84D6002A ld1sh {z10.s}, p0/z, [x1, z22.s, sxtw] // ld1sw_z_p_ai_d 11000101001xxxxx100xxxxxxxxxxxxx C539832A ld1sw {z10.d}, p0/z, [z25.d, #0x64] C5319DF6 ld1sw {z22.d}, p7/z, [z15.d, #0x44] C52B8BED ld1sw {z13.d}, p2/z, [z31.d, #0x2c] C53F958E ld1sw {z14.d}, p5/z, [z12.d, #0x7c] C52E810F ld1sw {z15.d}, p0/z, [z8.d, #0x38] C53B8FC7 ld1sw {z7.d}, p3/z, [z30.d, #0x6c] C53F9F0F ld1sw {z15.d}, p7/z, [z24.d, #0x7c] C5268DC7 ld1sw {z7.d}, p3/z, [z14.d, #0x18] C5389D41 ld1sw {z1.d}, p7/z, [z10.d, #0x60] C53F8767 ld1sw {z7.d}, p1/z, [z27.d, #0x7c] C52E93CC ld1sw {z12.d}, p4/z, [z30.d, #0x38] C5339ADE ld1sw {z30.d}, p6/z, [z22.d, #0x4c] C53C9A43 ld1sw {z3.d}, p6/z, [z18.d, #0x70] C5348AA0 ld1sw {z0.d}, p2/z, [z21.d, #0x50] C5229EAB ld1sw {z11.d}, p7/z, [z21.d, #0x8] C52E9EF9 ld1sw {z25.d}, p7/z, [z23.d, #0x38] // ld1sw_z_p_bi_s64 101001001000xxxx101xxxxxxxxxxxxx A483ADF7 ld1sw {z23.d}, p3/z, [x15, #0x3, mul vl] A48EAE84 ld1sw {z4.d}, p3/z, [x20, #-2, mul vl] A482BF70 ld1sw {z16.d}, p7/z, [x27, #0x2, mul vl] A48EA166 ld1sw {z6.d}, p0/z, [x11, #-2, mul vl] A487A2BC ld1sw {z28.d}, p0/z, [x21, #0x7, mul vl] A48FB4B0 ld1sw {z16.d}, p5/z, [x5, #-1, mul vl] A489B428 ld1sw {z8.d}, p5/z, [x1, #-7, mul vl] A480A35E ld1sw {z30.d}, p0/z, [x26] A483BA29 ld1sw {z9.d}, p6/z, [x17, #0x3, mul vl] A487AE7F ld1sw {z31.d}, p3/z, [x19, #0x7, mul vl] A487A715 ld1sw {z21.d}, p1/z, [x24, #0x7, mul vl] A487A200 ld1sw {z0.d}, p0/z, [x16, #0x7, mul vl] A483A9CD ld1sw {z13.d}, p2/z, [x14, #0x3, mul vl] A489B75F ld1sw {z31.d}, p5/z, [x26, #-7, mul vl] A48FBCD3 ld1sw {z19.d}, p7/z, [x6, #-1, mul vl] A484A5FB ld1sw {z27.d}, p1/z, [x15, #0x4, mul vl] // ld1sw_z_p_br_s64 10100100100xxxxx010xxxxxxxxxxxxx A4844F06 ld1sw {z6.d}, p3/z, [x24, x4, lsl #0x2] A4854B88 ld1sw {z8.d}, p2/z, [x28, x5, lsl #0x2] A48B4A2D ld1sw {z13.d}, p2/z, [x17, x11, lsl #0x2] A4944095 ld1sw {z21.d}, p0/z, [x4, x20, lsl #0x2] A48F4C65 ld1sw {z5.d}, p3/z, [x3, x15, lsl #0x2] A48F5361 ld1sw {z1.d}, p4/z, [x27, x15, lsl #0x2] A49241B5 ld1sw {z21.d}, p0/z, [x13, x18, lsl #0x2] A49943B7 ld1sw {z23.d}, p0/z, [x29, x25, lsl #0x2] A4965807 ld1sw {z7.d}, p6/z, [x0, x22, lsl #0x2] A48758B0 ld1sw {z16.d}, p6/z, [x5, x7, lsl #0x2] A48947B3 ld1sw {z19.d}, p1/z, [x29, x9, lsl #0x2] A4844AFF ld1sw {z31.d}, p2/z, [x23, x4, lsl #0x2] A484479A ld1sw {z26.d}, p1/z, [x28, x4, lsl #0x2] A49B5244 ld1sw {z4.d}, p4/z, [x18, x27, lsl #0x2] A49E5203 ld1sw {z3.d}, p4/z, [x16, x30, lsl #0x2] A48147B0 ld1sw {z16.d}, p1/z, [x29, x1, lsl #0x2] // ld1sw_z_p_bz_d_64_scaled 11000101011xxxxx100xxxxxxxxxxxxx C5758F1F ld1sw {z31.d}, p3/z, [x24, z21.d, lsl #0x2] C5659FD8 ld1sw {z24.d}, p7/z, [x30, z5.d, lsl #0x2] C5638AFF ld1sw {z31.d}, p2/z, [x23, z3.d, lsl #0x2] C57F98B4 ld1sw {z20.d}, p6/z, [x5, z31.d, lsl #0x2] C5718B73 ld1sw {z19.d}, p2/z, [x27, z17.d, lsl #0x2] C5648D9F ld1sw {z31.d}, p3/z, [x12, z4.d, lsl #0x2] C56E9250 ld1sw {z16.d}, p4/z, [x18, z14.d, lsl #0x2] C5618B4D ld1sw {z13.d}, p2/z, [x26, z1.d, lsl #0x2] C56296B1 ld1sw {z17.d}, p5/z, [x21, z2.d, lsl #0x2] C56C9B10 ld1sw {z16.d}, p6/z, [x24, z12.d, lsl #0x2] C5608EE4 ld1sw {z4.d}, p3/z, [x23, z0.d, lsl #0x2] C578916D ld1sw {z13.d}, p4/z, [x11, z24.d, lsl #0x2] C56A8CD1 ld1sw {z17.d}, p3/z, [x6, z10.d, lsl #0x2] C5669221 ld1sw {z1.d}, p4/z, [x17, z6.d, lsl #0x2] C5649361 ld1sw {z1.d}, p4/z, [x27, z4.d, lsl #0x2] C56A846D ld1sw {z13.d}, p1/z, [x3, z10.d, lsl #0x2] // ld1sw_z_p_bz_d_64_unscaled 11000101010xxxxx100xxxxxxxxxxxxx C54A925A ld1sw {z26.d}, p4/z, [x18, z10.d] C5508F66 ld1sw {z6.d}, p3/z, [x27, z16.d] C5598CFD ld1sw {z29.d}, p3/z, [x7, z25.d] C559955F ld1sw {z31.d}, p5/z, [x10, z25.d] C54793F9 ld1sw {z25.d}, p4/z, [sp, z7.d] C5568327 ld1sw {z7.d}, p0/z, [x25, z22.d] C5499EA0 ld1sw {z0.d}, p7/z, [x21, z9.d] C54A806D ld1sw {z13.d}, p0/z, [x3, z10.d] C54A8E85 ld1sw {z5.d}, p3/z, [x20, z10.d] C5458ACF ld1sw {z15.d}, p2/z, [x22, z5.d] C55491B0 ld1sw {z16.d}, p4/z, [x13, z20.d] C55E839E ld1sw {z30.d}, p0/z, [x28, z30.d] C55F82C5 ld1sw {z5.d}, p0/z, [x22, z31.d] C54893C7 ld1sw {z7.d}, p4/z, [x30, z8.d] C5408861 ld1sw {z1.d}, p2/z, [x3, z0.d] C548856C ld1sw {z12.d}, p1/z, [x11, z8.d] // ld1sw_z_p_bz_d_x32_scaled 110001010x1xxxxx000xxxxxxxxxxxxx C5791213 ld1sw {z19.d}, p4/z, [x16, z25.d, sxtw #0x2] C5730CF5 ld1sw {z21.d}, p3/z, [x7, z19.d, sxtw #0x2] C5700AE6 ld1sw {z6.d}, p2/z, [x23, z16.d, sxtw #0x2] C52909CB ld1sw {z11.d}, p2/z, [x14, z9.d, uxtw #0x2] C5321155 ld1sw {z21.d}, p4/z, [x10, z18.d, uxtw #0x2] C5690C9E ld1sw {z30.d}, p3/z, [x4, z9.d, sxtw #0x2] C56E04C8 ld1sw {z8.d}, p1/z, [x6, z14.d, sxtw #0x2] C526016F ld1sw {z15.d}, p0/z, [x11, z6.d, uxtw #0x2] C56315BE ld1sw {z30.d}, p5/z, [x13, z3.d, sxtw #0x2] C53616F1 ld1sw {z17.d}, p5/z, [x23, z22.d, uxtw #0x2] C5390F77 ld1sw {z23.d}, p3/z, [x27, z25.d, uxtw #0x2] C5760AC7 ld1sw {z7.d}, p2/z, [x22, z22.d, sxtw #0x2] C5610B29 ld1sw {z9.d}, p2/z, [x25, z1.d, sxtw #0x2] C5391049 ld1sw {z9.d}, p4/z, [x2, z25.d, uxtw #0x2] C5760DC0 ld1sw {z0.d}, p3/z, [x14, z22.d, sxtw #0x2] C53702AD ld1sw {z13.d}, p0/z, [x21, z23.d, uxtw #0x2] // ld1sw_z_p_bz_d_x32_unscaled 110001010x0xxxxx000xxxxxxxxxxxxx C5021825 ld1sw {z5.d}, p6/z, [x1, z2.d, uxtw] C51F1B4C ld1sw {z12.d}, p6/z, [x26, z31.d, uxtw] C50D1995 ld1sw {z21.d}, p6/z, [x12, z13.d, uxtw] C5151BB7 ld1sw {z23.d}, p6/z, [x29, z21.d, uxtw] C54D0FB9 ld1sw {z25.d}, p3/z, [x29, z13.d, sxtw] C5030D80 ld1sw {z0.d}, p3/z, [x12, z3.d, uxtw] C55F0EE5 ld1sw {z5.d}, p3/z, [x23, z31.d, sxtw] C51D11C9 ld1sw {z9.d}, p4/z, [x14, z29.d, uxtw] C5011CCF ld1sw {z15.d}, p7/z, [x6, z1.d, uxtw] C549150B ld1sw {z11.d}, p5/z, [x8, z9.d, sxtw] C54412F7 ld1sw {z23.d}, p4/z, [x23, z4.d, sxtw] C5470B33 ld1sw {z19.d}, p2/z, [x25, z7.d, sxtw] C54608E8 ld1sw {z8.d}, p2/z, [x7, z6.d, sxtw] C505055A ld1sw {z26.d}, p1/z, [x10, z5.d, uxtw] C5171864 ld1sw {z4.d}, p6/z, [x3, z23.d, uxtw] C5100E59 ld1sw {z25.d}, p3/z, [x18, z16.d, uxtw] // ld1w_z_p_ai_d 11000101001xxxxx110xxxxxxxxxxxxx C539CFAD ld1w {z13.d}, p3/z, [z29.d, #0x64] C525D161 ld1w {z1.d}, p4/z, [z11.d, #0x14] C52FD646 ld1w {z6.d}, p5/z, [z18.d, #0x3c] C534C243 ld1w {z3.d}, p0/z, [z18.d, #0x50] C53ADBD6 ld1w {z22.d}, p6/z, [z30.d, #0x68] C53CD07A ld1w {z26.d}, p4/z, [z3.d, #0x70] C524D43A ld1w {z26.d}, p5/z, [z1.d, #0x10] C529D404 ld1w {z4.d}, p5/z, [z0.d, #0x24] C53DC610 ld1w {z16.d}, p1/z, [z16.d, #0x74] C52FDD3C ld1w {z28.d}, p7/z, [z9.d, #0x3c] C52BC41B ld1w {z27.d}, p1/z, [z0.d, #0x2c] C524C309 ld1w {z9.d}, p0/z, [z24.d, #0x10] C536C17C ld1w {z28.d}, p0/z, [z11.d, #0x58] C53ED3C3 ld1w {z3.d}, p4/z, [z30.d, #0x78] C521D463 ld1w {z3.d}, p5/z, [z3.d, #0x4] C53DC8EC ld1w {z12.d}, p2/z, [z7.d, #0x74] // ld1w_z_p_ai_s 10000101001xxxxx110xxxxxxxxxxxxx 8527D280 ld1w {z0.s}, p4/z, [z20.s, #0x1c] 8524D942 ld1w {z2.s}, p6/z, [z10.s, #0x10] 853DCD8F ld1w {z15.s}, p3/z, [z12.s, #0x74] 8539CBD7 ld1w {z23.s}, p2/z, [z30.s, #0x64] 8539C792 ld1w {z18.s}, p1/z, [z28.s, #0x64] 8536D66D ld1w {z13.s}, p5/z, [z19.s, #0x58] 8539D980 ld1w {z0.s}, p6/z, [z12.s, #0x64] 853EC27F ld1w {z31.s}, p0/z, [z19.s, #0x78] 852FC791 ld1w {z17.s}, p1/z, [z28.s, #0x3c] 8523D1D7 ld1w {z23.s}, p4/z, [z14.s, #0xc] 853CD41B ld1w {z27.s}, p5/z, [z0.s, #0x70] 8536C6A4 ld1w {z4.s}, p1/z, [z21.s, #0x58] 8533C114 ld1w {z20.s}, p0/z, [z8.s, #0x4c] 8534C751 ld1w {z17.s}, p1/z, [z26.s, #0x50] 8529C655 ld1w {z21.s}, p1/z, [z18.s, #0x24] 852ADF35 ld1w {z21.s}, p7/z, [z25.s, #0x28] // ld1w_z_p_bi_u32 101001010100xxxx101xxxxxxxxxxxxx A543A345 ld1w {z5.s}, p0/z, [x26, #0x3, mul vl] A54AAB85 ld1w {z5.s}, p2/z, [x28, #-6, mul vl] A542AF53 ld1w {z19.s}, p3/z, [x26, #0x2, mul vl] A543A189 ld1w {z9.s}, p0/z, [x12, #0x3, mul vl] A54CB2D8 ld1w {z24.s}, p4/z, [x22, #-4, mul vl] A542A02D ld1w {z13.s}, p0/z, [x1, #0x2, mul vl] A547ACDB ld1w {z27.s}, p3/z, [x6, #0x7, mul vl] A541BBE5 ld1w {z5.s}, p6/z, [sp, #0x1, mul vl] A540A1EA ld1w {z10.s}, p0/z, [x15] A54DA05E ld1w {z30.s}, p0/z, [x2, #-3, mul vl] A540A008 ld1w {z8.s}, p0/z, [x0] A545BBDA ld1w {z26.s}, p6/z, [x30, #0x5, mul vl] A54AA51E ld1w {z30.s}, p1/z, [x8, #-6, mul vl] A542A278 ld1w {z24.s}, p0/z, [x19, #0x2, mul vl] A549B3AB ld1w {z11.s}, p4/z, [x29, #-7, mul vl] A544B169 ld1w {z9.s}, p4/z, [x11, #0x4, mul vl] // ld1w_z_p_bi_u64 101001010110xxxx101xxxxxxxxxxxxx A562A250 ld1w {z16.d}, p0/z, [x18, #0x2, mul vl] A56EBB22 ld1w {z2.d}, p6/z, [x25, #-2, mul vl] A566A204 ld1w {z4.d}, p0/z, [x16, #0x6, mul vl] A564A9CC ld1w {z12.d}, p2/z, [x14, #0x4, mul vl] A564A534 ld1w {z20.d}, p1/z, [x9, #0x4, mul vl] A56BB7C5 ld1w {z5.d}, p5/z, [x30, #-5, mul vl] A563B9DE ld1w {z30.d}, p6/z, [x14, #0x3, mul vl] A563AB0B ld1w {z11.d}, p2/z, [x24, #0x3, mul vl] A56EA336 ld1w {z22.d}, p0/z, [x25, #-2, mul vl] A562B09B ld1w {z27.d}, p4/z, [x4, #0x2, mul vl] A569A689 ld1w {z9.d}, p1/z, [x20, #-7, mul vl] A562BF7E ld1w {z30.d}, p7/z, [x27, #0x2, mul vl] A56EBE71 ld1w {z17.d}, p7/z, [x19, #-2, mul vl] A568A4B6 ld1w {z22.d}, p1/z, [x5, #-8, mul vl] A560AE25 ld1w {z5.d}, p3/z, [x17] A568B5E4 ld1w {z4.d}, p5/z, [x15, #-8, mul vl] // ld1w_z_p_br_u32 10100101010xxxxx010xxxxxxxxxxxxx A5594380 ld1w {z0.s}, p0/z, [x28, x25, lsl #0x2] A54E5F33 ld1w {z19.s}, p7/z, [x25, x14, lsl #0x2] A556469F ld1w {z31.s}, p1/z, [x20, x22, lsl #0x2] A54B5BDA ld1w {z26.s}, p6/z, [x30, x11, lsl #0x2] A5525DF2 ld1w {z18.s}, p7/z, [x15, x18, lsl #0x2] A5424D44 ld1w {z4.s}, p3/z, [x10, x2, lsl #0x2] A55B588B ld1w {z11.s}, p6/z, [x4, x27, lsl #0x2] A54A4E1B ld1w {z27.s}, p3/z, [x16, x10, lsl #0x2] A5415BE3 ld1w {z3.s}, p6/z, [sp, x1, lsl #0x2] A55343FD ld1w {z29.s}, p0/z, [sp, x19, lsl #0x2] A54E58C5 ld1w {z5.s}, p6/z, [x6, x14, lsl #0x2] A55E533F ld1w {z31.s}, p4/z, [x25, x30, lsl #0x2] A5465697 ld1w {z23.s}, p5/z, [x20, x6, lsl #0x2] A5455018 ld1w {z24.s}, p4/z, [x0, x5, lsl #0x2] A546570D ld1w {z13.s}, p5/z, [x24, x6, lsl #0x2] A5465BD4 ld1w {z20.s}, p6/z, [x30, x6, lsl #0x2] // ld1w_z_p_br_u64 10100101011xxxxx010xxxxxxxxxxxxx A57C4DC9 ld1w {z9.d}, p3/z, [x14, x28, lsl #0x2] A5744CFE ld1w {z30.d}, p3/z, [x7, x20, lsl #0x2] A571561E ld1w {z30.d}, p5/z, [x16, x17, lsl #0x2] A5784B30 ld1w {z16.d}, p2/z, [x25, x24, lsl #0x2] A5634C5D ld1w {z29.d}, p3/z, [x2, x3, lsl #0x2] A5625E33 ld1w {z19.d}, p7/z, [x17, x2, lsl #0x2] A57540F3 ld1w {z19.d}, p0/z, [x7, x21, lsl #0x2] A56848C8 ld1w {z8.d}, p2/z, [x6, x8, lsl #0x2] A56F5674 ld1w {z20.d}, p5/z, [x19, x15, lsl #0x2] A57E545E ld1w {z30.d}, p5/z, [x2, x30, lsl #0x2] A5745C05 ld1w {z5.d}, p7/z, [x0, x20, lsl #0x2] A5745152 ld1w {z18.d}, p4/z, [x10, x20, lsl #0x2] A5764160 ld1w {z0.d}, p0/z, [x11, x22, lsl #0x2] A5765DC5 ld1w {z5.d}, p7/z, [x14, x22, lsl #0x2] A5724E1A ld1w {z26.d}, p3/z, [x16, x18, lsl #0x2] A560545D ld1w {z29.d}, p5/z, [x2, x0, lsl #0x2] // ld1w_z_p_bz_d_64_scaled 11000101011xxxxx110xxxxxxxxxxxxx C576DF0E ld1w {z14.d}, p7/z, [x24, z22.d, lsl #0x2] C57CCB48 ld1w {z8.d}, p2/z, [x26, z28.d, lsl #0x2] C57FC991 ld1w {z17.d}, p2/z, [x12, z31.d, lsl #0x2] C564DA08 ld1w {z8.d}, p6/z, [x16, z4.d, lsl #0x2] C578C5F7 ld1w {z23.d}, p1/z, [x15, z24.d, lsl #0x2] C567C521 ld1w {z1.d}, p1/z, [x9, z7.d, lsl #0x2] C56BD256 ld1w {z22.d}, p4/z, [x18, z11.d, lsl #0x2] C57FCD77 ld1w {z23.d}, p3/z, [x11, z31.d, lsl #0x2] C57AD113 ld1w {z19.d}, p4/z, [x8, z26.d, lsl #0x2] C57DC17B ld1w {z27.d}, p0/z, [x11, z29.d, lsl #0x2] C571D163 ld1w {z3.d}, p4/z, [x11, z17.d, lsl #0x2] C562CB9A ld1w {z26.d}, p2/z, [x28, z2.d, lsl #0x2] C565C42E ld1w {z14.d}, p1/z, [x1, z5.d, lsl #0x2] C568CC9F ld1w {z31.d}, p3/z, [x4, z8.d, lsl #0x2] C572DF33 ld1w {z19.d}, p7/z, [x25, z18.d, lsl #0x2] C56BDD95 ld1w {z21.d}, p7/z, [x12, z11.d, lsl #0x2] // ld1w_z_p_bz_d_64_unscaled 11000101010xxxxx110xxxxxxxxxxxxx C55FC1C8 ld1w {z8.d}, p0/z, [x14, z31.d] C553DC7C ld1w {z28.d}, p7/z, [x3, z19.d] C55EC628 ld1w {z8.d}, p1/z, [x17, z30.d] C55CC7AA ld1w {z10.d}, p1/z, [x29, z28.d] C541DF24 ld1w {z4.d}, p7/z, [x25, z1.d] C550CD7D ld1w {z29.d}, p3/z, [x11, z16.d] C55FC9C6 ld1w {z6.d}, p2/z, [x14, z31.d] C547CAA0 ld1w {z0.d}, p2/z, [x21, z7.d] C547D348 ld1w {z8.d}, p4/z, [x26, z7.d] C55BDA5F ld1w {z31.d}, p6/z, [x18, z27.d] C55BCB42 ld1w {z2.d}, p2/z, [x26, z27.d] C54FD9F8 ld1w {z24.d}, p6/z, [x15, z15.d] C548C3A5 ld1w {z5.d}, p0/z, [x29, z8.d] C55ECF59 ld1w {z25.d}, p3/z, [x26, z30.d] C541D616 ld1w {z22.d}, p5/z, [x16, z1.d] C55FDDB9 ld1w {z25.d}, p7/z, [x13, z31.d] // ld1w_z_p_bz_d_x32_scaled 110001010x1xxxxx010xxxxxxxxxxxxx C57F4149 ld1w {z9.d}, p0/z, [x10, z31.d, sxtw #0x2] C561577A ld1w {z26.d}, p5/z, [x27, z1.d, sxtw #0x2] C5775D54 ld1w {z20.d}, p7/z, [x10, z23.d, sxtw #0x2] C57A44DE ld1w {z30.d}, p1/z, [x6, z26.d, sxtw #0x2] C52355F5 ld1w {z21.d}, p5/z, [x15, z3.d, uxtw #0x2] C56A5348 ld1w {z8.d}, p4/z, [x26, z10.d, sxtw #0x2] C53F4174 ld1w {z20.d}, p0/z, [x11, z31.d, uxtw #0x2] C5215FC0 ld1w {z0.d}, p7/z, [x30, z1.d, uxtw #0x2] C572541B ld1w {z27.d}, p5/z, [x0, z18.d, sxtw #0x2] C53558CE ld1w {z14.d}, p6/z, [x6, z21.d, uxtw #0x2] C571497F ld1w {z31.d}, p2/z, [x11, z17.d, sxtw #0x2] C5274AF2 ld1w {z18.d}, p2/z, [x23, z7.d, uxtw #0x2] C57F50AC ld1w {z12.d}, p4/z, [x5, z31.d, sxtw #0x2] C5695932 ld1w {z18.d}, p6/z, [x9, z9.d, sxtw #0x2] C5795B49 ld1w {z9.d}, p6/z, [x26, z25.d, sxtw #0x2] C57C536B ld1w {z11.d}, p4/z, [x27, z28.d, sxtw #0x2] // ld1w_z_p_bz_d_x32_unscaled 110001010x0xxxxx010xxxxxxxxxxxxx C5574B79 ld1w {z25.d}, p2/z, [x27, z23.d, sxtw] C54C4D0F ld1w {z15.d}, p3/z, [x8, z12.d, sxtw] C54E574E ld1w {z14.d}, p5/z, [x26, z14.d, sxtw] C5045E3D ld1w {z29.d}, p7/z, [x17, z4.d, uxtw] C5075F60 ld1w {z0.d}, p7/z, [x27, z7.d, uxtw] C555485F ld1w {z31.d}, p2/z, [x2, z21.d, sxtw] C51F4B1D ld1w {z29.d}, p2/z, [x24, z31.d, uxtw] C5435E13 ld1w {z19.d}, p7/z, [x16, z3.d, sxtw] C54A591B ld1w {z27.d}, p6/z, [x8, z10.d, sxtw] C5524EF7 ld1w {z23.d}, p3/z, [x23, z18.d, sxtw] C50F4882 ld1w {z2.d}, p2/z, [x4, z15.d, uxtw] C55A4E4C ld1w {z12.d}, p3/z, [x18, z26.d, sxtw] C51B4B3C ld1w {z28.d}, p2/z, [x25, z27.d, uxtw] C54F5F81 ld1w {z1.d}, p7/z, [x28, z15.d, sxtw] C50E5777 ld1w {z23.d}, p5/z, [x27, z14.d, uxtw] C5075C5C ld1w {z28.d}, p7/z, [x2, z7.d, uxtw] // ld1w_z_p_bz_s_x32_scaled 100001010x1xxxxx010xxxxxxxxxxxxx 857F50D9 ld1w {z25.s}, p4/z, [x6, z31.s, sxtw #0x2] 857348FB ld1w {z27.s}, p2/z, [x7, z19.s, sxtw #0x2] 85635AAD ld1w {z13.s}, p6/z, [x21, z3.s, sxtw #0x2] 8560594B ld1w {z11.s}, p6/z, [x10, z0.s, sxtw #0x2] 856753AE ld1w {z14.s}, p4/z, [x29, z7.s, sxtw #0x2] 853D5CC9 ld1w {z9.s}, p7/z, [x6, z29.s, uxtw #0x2] 853C5072 ld1w {z18.s}, p4/z, [x3, z28.s, uxtw #0x2] 856451DB ld1w {z27.s}, p4/z, [x14, z4.s, sxtw #0x2] 85294CAB ld1w {z11.s}, p3/z, [x5, z9.s, uxtw #0x2] 857F58BB ld1w {z27.s}, p6/z, [x5, z31.s, sxtw #0x2] 853656AD ld1w {z13.s}, p5/z, [x21, z22.s, uxtw #0x2] 8539486D ld1w {z13.s}, p2/z, [x3, z25.s, uxtw #0x2] 857D5ED6 ld1w {z22.s}, p7/z, [x22, z29.s, sxtw #0x2] 853E5C59 ld1w {z25.s}, p7/z, [x2, z30.s, uxtw #0x2] 85624BB7 ld1w {z23.s}, p2/z, [x29, z2.s, sxtw #0x2] 8532544C ld1w {z12.s}, p5/z, [x2, z18.s, uxtw #0x2] // ld1w_z_p_bz_s_x32_unscaled 100001010x0xxxxx010xxxxxxxxxxxxx 85565A3F ld1w {z31.s}, p6/z, [x17, z22.s, sxtw] 85555E3A ld1w {z26.s}, p7/z, [x17, z21.s, sxtw] 8501450C ld1w {z12.s}, p1/z, [x8, z1.s, uxtw] 854059CE ld1w {z14.s}, p6/z, [x14, z0.s, sxtw] 85445855 ld1w {z21.s}, p6/z, [x2, z4.s, sxtw] 855D50FD ld1w {z29.s}, p4/z, [x7, z29.s, sxtw] 85054A23 ld1w {z3.s}, p2/z, [x17, z5.s, uxtw] 851957C0 ld1w {z0.s}, p5/z, [x30, z25.s, uxtw] 8503572F ld1w {z15.s}, p5/z, [x25, z3.s, uxtw] 854B4ED2 ld1w {z18.s}, p3/z, [x22, z11.s, sxtw] 854C56CA ld1w {z10.s}, p5/z, [x22, z12.s, sxtw] 854B40B9 ld1w {z25.s}, p0/z, [x5, z11.s, sxtw] 85545545 ld1w {z5.s}, p5/z, [x10, z20.s, sxtw] 855755DA ld1w {z26.s}, p5/z, [x14, z23.s, sxtw] 85434F1E ld1w {z30.s}, p3/z, [x24, z3.s, sxtw] 850B4AA6 ld1w {z6.s}, p2/z, [x21, z11.s, uxtw] // ld2b_z_p_bi_contiguous 101001000010xxxx111xxxxxxxxxxxxx A42DF067 ld2b {z7.b, z8.b}, p4/z, [x3, #-6, mul vl] A42AE430 ld2b {z16.b, z17.b}, p1/z, [x1, #-12, mul vl] A428E7ED ld2b {z13.b, z14.b}, p1/z, [sp, #-16, mul vl] A421F0E0 ld2b {z0.b, z1.b}, p4/z, [x7, #0x2, mul vl] A428E0A7 ld2b {z7.b, z8.b}, p0/z, [x5, #-16, mul vl] A42DF51B ld2b {z27.b, z28.b}, p5/z, [x8, #-6, mul vl] A42FE972 ld2b {z18.b, z19.b}, p2/z, [x11, #-2, mul vl] A42BF064 ld2b {z4.b, z5.b}, p4/z, [x3, #-10, mul vl] A426E742 ld2b {z2.b, z3.b}, p1/z, [x26, #0xc, mul vl] A426E499 ld2b {z25.b, z26.b}, p1/z, [x4, #0xc, mul vl] A42AE721 ld2b {z1.b, z2.b}, p1/z, [x25, #-12, mul vl] A42BFFF0 ld2b {z16.b, z17.b}, p7/z, [sp, #-10, mul vl] A420F0CE ld2b {z14.b, z15.b}, p4/z, [x6] A422F5EE ld2b {z14.b, z15.b}, p5/z, [x15, #0x4, mul vl] A422F21B ld2b {z27.b, z28.b}, p4/z, [x16, #0x4, mul vl] A42FF43C ld2b {z28.b, z29.b}, p5/z, [x1, #-2, mul vl] // ld2b_z_p_br_contiguous 10100100001xxxxx110xxxxxxxxxxxxx A424C37F ld2b {z31.b, z0.b}, p0/z, [x27, x4] A430D6FB ld2b {z27.b, z28.b}, p5/z, [x23, x16] A42AC28A ld2b {z10.b, z11.b}, p0/z, [x20, x10] A424CA76 ld2b {z22.b, z23.b}, p2/z, [x19, x4] A437DDEE ld2b {z14.b, z15.b}, p7/z, [x15, x23] A42ECBEE ld2b {z14.b, z15.b}, p2/z, [sp, x14] A43EDA39 ld2b {z25.b, z26.b}, p6/z, [x17, x30] A427CBB0 ld2b {z16.b, z17.b}, p2/z, [x29, x7] A431DF3E ld2b {z30.b, z31.b}, p7/z, [x25, x17] A43EC3E1 ld2b {z1.b, z2.b}, p0/z, [sp, x30] A421C6DA ld2b {z26.b, z27.b}, p1/z, [x22, x1] A439C60D ld2b {z13.b, z14.b}, p1/z, [x16, x25] A43CDE4E ld2b {z14.b, z15.b}, p7/z, [x18, x28] A429D586 ld2b {z6.b, z7.b}, p5/z, [x12, x9] A434C17B ld2b {z27.b, z28.b}, p0/z, [x11, x20] A42DDC1C ld2b {z28.b, z29.b}, p7/z, [x0, x13] // ld2d_z_p_bi_contiguous 101001011010xxxx111xxxxxxxxxxxxx A5A2F850 ld2d {z16.d, z17.d}, p6/z, [x2, #0x4, mul vl] A5A9E2C7 ld2d {z7.d, z8.d}, p0/z, [x22, #-14, mul vl] A5A9F26C ld2d {z12.d, z13.d}, p4/z, [x19, #-14, mul vl] A5A2E01F ld2d {z31.d, z0.d}, p0/z, [x0, #0x4, mul vl] A5AAEEFA ld2d {z26.d, z27.d}, p3/z, [x23, #-12, mul vl] A5A9F5C2 ld2d {z2.d, z3.d}, p5/z, [x14, #-14, mul vl] A5ACE60B ld2d {z11.d, z12.d}, p1/z, [x16, #-8, mul vl] A5ACE87E ld2d {z30.d, z31.d}, p2/z, [x3, #-8, mul vl] A5A1E7D6 ld2d {z22.d, z23.d}, p1/z, [x30, #0x2, mul vl] A5A0E46B ld2d {z11.d, z12.d}, p1/z, [x3] A5A9EB65 ld2d {z5.d, z6.d}, p2/z, [x27, #-14, mul vl] A5A8E468 ld2d {z8.d, z9.d}, p1/z, [x3, #-16, mul vl] A5ACE0CA ld2d {z10.d, z11.d}, p0/z, [x6, #-8, mul vl] A5A8FD08 ld2d {z8.d, z9.d}, p7/z, [x8, #-16, mul vl] A5A4FF74 ld2d {z20.d, z21.d}, p7/z, [x27, #0x8, mul vl] A5A1E3BA ld2d {z26.d, z27.d}, p0/z, [x29, #0x2, mul vl] // ld2d_z_p_br_contiguous 10100101101xxxxx110xxxxxxxxxxxxx A5A1C02E ld2d {z14.d, z15.d}, p0/z, [x1, x1, lsl #0x3] A5A8CE9A ld2d {z26.d, z27.d}, p3/z, [x20, x8, lsl #0x3] A5AFCBC4 ld2d {z4.d, z5.d}, p2/z, [x30, x15, lsl #0x3] A5ABD90E ld2d {z14.d, z15.d}, p6/z, [x8, x11, lsl #0x3] A5B8D73D ld2d {z29.d, z30.d}, p5/z, [x25, x24, lsl #0x3] A5BCD330 ld2d {z16.d, z17.d}, p4/z, [x25, x28, lsl #0x3] A5A9CF0B ld2d {z11.d, z12.d}, p3/z, [x24, x9, lsl #0x3] A5B7CF90 ld2d {z16.d, z17.d}, p3/z, [x28, x23, lsl #0x3] A5AACCBC ld2d {z28.d, z29.d}, p3/z, [x5, x10, lsl #0x3] A5A5CEFC ld2d {z28.d, z29.d}, p3/z, [x23, x5, lsl #0x3] A5A0CC6F ld2d {z15.d, z16.d}, p3/z, [x3, x0, lsl #0x3] A5AEDD99 ld2d {z25.d, z26.d}, p7/z, [x12, x14, lsl #0x3] A5B5D079 ld2d {z25.d, z26.d}, p4/z, [x3, x21, lsl #0x3] A5BAD01C ld2d {z28.d, z29.d}, p4/z, [x0, x26, lsl #0x3] A5BECFB8 ld2d {z24.d, z25.d}, p3/z, [x29, x30, lsl #0x3] A5A0DEF9 ld2d {z25.d, z26.d}, p7/z, [x23, x0, lsl #0x3] // ld2h_z_p_bi_contiguous 101001001010xxxx111xxxxxxxxxxxxx A4AFF8F8 ld2h {z24.h, z25.h}, p6/z, [x7, #-2, mul vl] A4A7FB15 ld2h {z21.h, z22.h}, p6/z, [x24, #0xe, mul vl] A4A9F57D ld2h {z29.h, z30.h}, p5/z, [x11, #-14, mul vl] A4A5FEA0 ld2h {z0.h, z1.h}, p7/z, [x21, #0xa, mul vl] A4A6E114 ld2h {z20.h, z21.h}, p0/z, [x8, #0xc, mul vl] A4A2ECD9 ld2h {z25.h, z26.h}, p3/z, [x6, #0x4, mul vl] A4A6E355 ld2h {z21.h, z22.h}, p0/z, [x26, #0xc, mul vl] A4AAF896 ld2h {z22.h, z23.h}, p6/z, [x4, #-12, mul vl] A4A6FCC9 ld2h {z9.h, z10.h}, p7/z, [x6, #0xc, mul vl] A4A2FE4C ld2h {z12.h, z13.h}, p7/z, [x18, #0x4, mul vl] A4A3F170 ld2h {z16.h, z17.h}, p4/z, [x11, #0x6, mul vl] A4ACE03C ld2h {z28.h, z29.h}, p0/z, [x1, #-8, mul vl] A4A3E2B7 ld2h {z23.h, z24.h}, p0/z, [x21, #0x6, mul vl] A4A9FE0A ld2h {z10.h, z11.h}, p7/z, [x16, #-14, mul vl] A4A0F1FF ld2h {z31.h, z0.h}, p4/z, [x15] A4AEEC4C ld2h {z12.h, z13.h}, p3/z, [x2, #-4, mul vl] // ld2h_z_p_br_contiguous 10100100101xxxxx110xxxxxxxxxxxxx A4A1D053 ld2h {z19.h, z20.h}, p4/z, [x2, x1, lsl #0x1] A4B9C7E2 ld2h {z2.h, z3.h}, p1/z, [sp, x25, lsl #0x1] A4A3D1EB ld2h {z11.h, z12.h}, p4/z, [x15, x3, lsl #0x1] A4AEC883 ld2h {z3.h, z4.h}, p2/z, [x4, x14, lsl #0x1] A4A7D099 ld2h {z25.h, z26.h}, p4/z, [x4, x7, lsl #0x1] A4ABD77B ld2h {z27.h, z28.h}, p5/z, [x27, x11, lsl #0x1] A4A7C094 ld2h {z20.h, z21.h}, p0/z, [x4, x7, lsl #0x1] A4ACD999 ld2h {z25.h, z26.h}, p6/z, [x12, x12, lsl #0x1] A4A2DE2A ld2h {z10.h, z11.h}, p7/z, [x17, x2, lsl #0x1] A4AFC04C ld2h {z12.h, z13.h}, p0/z, [x2, x15, lsl #0x1] A4BBC948 ld2h {z8.h, z9.h}, p2/z, [x10, x27, lsl #0x1] A4B2C657 ld2h {z23.h, z24.h}, p1/z, [x18, x18, lsl #0x1] A4ACC0BC ld2h {z28.h, z29.h}, p0/z, [x5, x12, lsl #0x1] A4A9C095 ld2h {z21.h, z22.h}, p0/z, [x4, x9, lsl #0x1] A4B2D1C6 ld2h {z6.h, z7.h}, p4/z, [x14, x18, lsl #0x1] A4A5DF79 ld2h {z25.h, z26.h}, p7/z, [x27, x5, lsl #0x1] // ld2w_z_p_bi_contiguous 101001010010xxxx111xxxxxxxxxxxxx A522FB80 ld2w {z0.s, z1.s}, p6/z, [x28, #0x4, mul vl] A52CF869 ld2w {z9.s, z10.s}, p6/z, [x3, #-8, mul vl] A52EF86E ld2w {z14.s, z15.s}, p6/z, [x3, #-4, mul vl] A52FEAE5 ld2w {z5.s, z6.s}, p2/z, [x23, #-2, mul vl] A525F3EF ld2w {z15.s, z16.s}, p4/z, [sp, #0xa, mul vl] A520EFA6 ld2w {z6.s, z7.s}, p3/z, [x29] A521FED3 ld2w {z19.s, z20.s}, p7/z, [x22, #0x2, mul vl] A52DFD8F ld2w {z15.s, z16.s}, p7/z, [x12, #-6, mul vl] A526F4DA ld2w {z26.s, z27.s}, p5/z, [x6, #0xc, mul vl] A528FF51 ld2w {z17.s, z18.s}, p7/z, [x26, #-16, mul vl] A52FF1F2 ld2w {z18.s, z19.s}, p4/z, [x15, #-2, mul vl] A527FD99 ld2w {z25.s, z26.s}, p7/z, [x12, #0xe, mul vl] A52BFAC5 ld2w {z5.s, z6.s}, p6/z, [x22, #-10, mul vl] A527F6C1 ld2w {z1.s, z2.s}, p5/z, [x22, #0xe, mul vl] A523F3FB ld2w {z27.s, z28.s}, p4/z, [sp, #0x6, mul vl] A52DFBA0 ld2w {z0.s, z1.s}, p6/z, [x29, #-6, mul vl] // ld2w_z_p_br_contiguous 10100101001xxxxx110xxxxxxxxxxxxx A524D18F ld2w {z15.s, z16.s}, p4/z, [x12, x4, lsl #0x2] A520D4AC ld2w {z12.s, z13.s}, p5/z, [x5, x0, lsl #0x2] A53BC629 ld2w {z9.s, z10.s}, p1/z, [x17, x27, lsl #0x2] A53EDFFE ld2w {z30.s, z31.s}, p7/z, [sp, x30, lsl #0x2] A53EC8F8 ld2w {z24.s, z25.s}, p2/z, [x7, x30, lsl #0x2] A52DC959 ld2w {z25.s, z26.s}, p2/z, [x10, x13, lsl #0x2] A52FD5DC ld2w {z28.s, z29.s}, p5/z, [x14, x15, lsl #0x2] A53ED29F ld2w {z31.s, z0.s}, p4/z, [x20, x30, lsl #0x2] A53ED43E ld2w {z30.s, z31.s}, p5/z, [x1, x30, lsl #0x2] A530DAC4 ld2w {z4.s, z5.s}, p6/z, [x22, x16, lsl #0x2] A52CDE02 ld2w {z2.s, z3.s}, p7/z, [x16, x12, lsl #0x2] A53CCBCA ld2w {z10.s, z11.s}, p2/z, [x30, x28, lsl #0x2] A532C90B ld2w {z11.s, z12.s}, p2/z, [x8, x18, lsl #0x2] A539DC68 ld2w {z8.s, z9.s}, p7/z, [x3, x25, lsl #0x2] A524C7B1 ld2w {z17.s, z18.s}, p1/z, [x29, x4, lsl #0x2] A539CA51 ld2w {z17.s, z18.s}, p2/z, [x18, x25, lsl #0x2] // ld3b_z_p_bi_contiguous 101001000100xxxx111xxxxxxxxxxxxx A443FB9E ld3b {z30.b, z31.b, z0.b}, p6/z, [x28, #0x9, mul vl] A44CEF66 ld3b {z6.b, z7.b, z8.b}, p3/z, [x27, #-12, mul vl] A448EF59 ld3b {z25.b, z26.b, z27.b}, p3/z, [x26, #-24, mul vl] A445E192 ld3b {z18.b, z19.b, z20.b}, p0/z, [x12, #0xf, mul vl] A44CE797 ld3b {z23.b, z24.b, z25.b}, p1/z, [x28, #-12, mul vl] A442E133 ld3b {z19.b, z20.b, z21.b}, p0/z, [x9, #0x6, mul vl] A44FFF9F ld3b {z31.b, z0.b, z1.b}, p7/z, [x28, #-3, mul vl] A444E2FD ld3b {z29.b, z30.b, z31.b}, p0/z, [x23, #0xc, mul vl] A444E371 ld3b {z17.b, z18.b, z19.b}, p0/z, [x27, #0xc, mul vl] A448F2EA ld3b {z10.b, z11.b, z12.b}, p4/z, [x23, #-24, mul vl] A448F9BF ld3b {z31.b, z0.b, z1.b}, p6/z, [x13, #-24, mul vl] A441F8AA ld3b {z10.b, z11.b, z12.b}, p6/z, [x5, #0x3, mul vl] A440FEE8 ld3b {z8.b, z9.b, z10.b}, p7/z, [x23] A44DE525 ld3b {z5.b, z6.b, z7.b}, p1/z, [x9, #-9, mul vl] A448F5EF ld3b {z15.b, z16.b, z17.b}, p5/z, [x15, #-24, mul vl] A44EE7D4 ld3b {z20.b, z21.b, z22.b}, p1/z, [x30, #-6, mul vl] // ld3b_z_p_br_contiguous 10100100010xxxxx110xxxxxxxxxxxxx A442D1C1 ld3b {z1.b, z2.b, z3.b}, p4/z, [x14, x2] A452C18C ld3b {z12.b, z13.b, z14.b}, p0/z, [x12, x18] A447C15C ld3b {z28.b, z29.b, z30.b}, p0/z, [x10, x7] A459C44A ld3b {z10.b, z11.b, z12.b}, p1/z, [x2, x25] A443D955 ld3b {z21.b, z22.b, z23.b}, p6/z, [x10, x3] A448C774 ld3b {z20.b, z21.b, z22.b}, p1/z, [x27, x8] A447D32D ld3b {z13.b, z14.b, z15.b}, p4/z, [x25, x7] A44FC557 ld3b {z23.b, z24.b, z25.b}, p1/z, [x10, x15] A450CC92 ld3b {z18.b, z19.b, z20.b}, p3/z, [x4, x16] A456DAEE ld3b {z14.b, z15.b, z16.b}, p6/z, [x23, x22] A45ADC5E ld3b {z30.b, z31.b, z0.b}, p7/z, [x2, x26] A442D431 ld3b {z17.b, z18.b, z19.b}, p5/z, [x1, x2] A446D66E ld3b {z14.b, z15.b, z16.b}, p5/z, [x19, x6] A447C3C3 ld3b {z3.b, z4.b, z5.b}, p0/z, [x30, x7] A449DA7E ld3b {z30.b, z31.b, z0.b}, p6/z, [x19, x9] A44AC58E ld3b {z14.b, z15.b, z16.b}, p1/z, [x12, x10] // ld3d_z_p_bi_contiguous 101001011100xxxx111xxxxxxxxxxxxx A5C5F26E ld3d {z14.d, z15.d, z16.d}, p4/z, [x19, #0xf, mul vl] A5C5FC51 ld3d {z17.d, z18.d, z19.d}, p7/z, [x2, #0xf, mul vl] A5C9FE6B ld3d {z11.d, z12.d, z13.d}, p7/z, [x19, #-21, mul vl] A5C8EF4E ld3d {z14.d, z15.d, z16.d}, p3/z, [x26, #-24, mul vl] A5C5E366 ld3d {z6.d, z7.d, z8.d}, p0/z, [x27, #0xf, mul vl] A5C0F0A3 ld3d {z3.d, z4.d, z5.d}, p4/z, [x5] A5C2FBD6 ld3d {z22.d, z23.d, z24.d}, p6/z, [x30, #0x6, mul vl] A5CBEDE6 ld3d {z6.d, z7.d, z8.d}, p3/z, [x15, #-15, mul vl] A5CBEBF5 ld3d {z21.d, z22.d, z23.d}, p2/z, [sp, #-15, mul vl] A5C4F485 ld3d {z5.d, z6.d, z7.d}, p5/z, [x4, #0xc, mul vl] A5CBFA1B ld3d {z27.d, z28.d, z29.d}, p6/z, [x16, #-15, mul vl] A5C6E261 ld3d {z1.d, z2.d, z3.d}, p0/z, [x19, #0x12, mul vl] A5CAFAA4 ld3d {z4.d, z5.d, z6.d}, p6/z, [x21, #-18, mul vl] A5C6FC95 ld3d {z21.d, z22.d, z23.d}, p7/z, [x4, #0x12, mul vl] A5CDE15E ld3d {z30.d, z31.d, z0.d}, p0/z, [x10, #-9, mul vl] A5CEE43A ld3d {z26.d, z27.d, z28.d}, p1/z, [x1, #-6, mul vl] // ld3d_z_p_br_contiguous 10100101110xxxxx110xxxxxxxxxxxxx A5C1DA6E ld3d {z14.d, z15.d, z16.d}, p6/z, [x19, x1, lsl #0x3] A5C0CD79 ld3d {z25.d, z26.d, z27.d}, p3/z, [x11, x0, lsl #0x3] A5D5D486 ld3d {z6.d, z7.d, z8.d}, p5/z, [x4, x21, lsl #0x3] A5CECE36 ld3d {z22.d, z23.d, z24.d}, p3/z, [x17, x14, lsl #0x3] A5DCDCD3 ld3d {z19.d, z20.d, z21.d}, p7/z, [x6, x28, lsl #0x3] A5C9CD86 ld3d {z6.d, z7.d, z8.d}, p3/z, [x12, x9, lsl #0x3] A5C6CF5D ld3d {z29.d, z30.d, z31.d}, p3/z, [x26, x6, lsl #0x3] A5D1C389 ld3d {z9.d, z10.d, z11.d}, p0/z, [x28, x17, lsl #0x3] A5D9CD91 ld3d {z17.d, z18.d, z19.d}, p3/z, [x12, x25, lsl #0x3] A5D4D55C ld3d {z28.d, z29.d, z30.d}, p5/z, [x10, x20, lsl #0x3] A5CBC124 ld3d {z4.d, z5.d, z6.d}, p0/z, [x9, x11, lsl #0x3] A5DEDBC1 ld3d {z1.d, z2.d, z3.d}, p6/z, [x30, x30, lsl #0x3] A5C0C318 ld3d {z24.d, z25.d, z26.d}, p0/z, [x24, x0, lsl #0x3] A5C6DEBB ld3d {z27.d, z28.d, z29.d}, p7/z, [x21, x6, lsl #0x3] A5C7DAD3 ld3d {z19.d, z20.d, z21.d}, p6/z, [x22, x7, lsl #0x3] A5C4C121 ld3d {z1.d, z2.d, z3.d}, p0/z, [x9, x4, lsl #0x3] // ld3h_z_p_bi_contiguous 101001001100xxxx111xxxxxxxxxxxxx A4CDED61 ld3h {z1.h, z2.h, z3.h}, p3/z, [x11, #-9, mul vl] A4C0F0F9 ld3h {z25.h, z26.h, z27.h}, p4/z, [x7] A4C8E6FA ld3h {z26.h, z27.h, z28.h}, p1/z, [x23, #-24, mul vl] A4CBEA01 ld3h {z1.h, z2.h, z3.h}, p2/z, [x16, #-15, mul vl] A4CFE61A ld3h {z26.h, z27.h, z28.h}, p1/z, [x16, #-3, mul vl] A4C9EC7F ld3h {z31.h, z0.h, z1.h}, p3/z, [x3, #-21, mul vl] A4C0E391 ld3h {z17.h, z18.h, z19.h}, p0/z, [x28] A4CAE21C ld3h {z28.h, z29.h, z30.h}, p0/z, [x16, #-18, mul vl] A4CDE5BB ld3h {z27.h, z28.h, z29.h}, p1/z, [x13, #-9, mul vl] A4CEE710 ld3h {z16.h, z17.h, z18.h}, p1/z, [x24, #-6, mul vl] A4C0E231 ld3h {z17.h, z18.h, z19.h}, p0/z, [x17] A4CAECE6 ld3h {z6.h, z7.h, z8.h}, p3/z, [x7, #-18, mul vl] A4C6E91D ld3h {z29.h, z30.h, z31.h}, p2/z, [x8, #0x12, mul vl] A4CEE438 ld3h {z24.h, z25.h, z26.h}, p1/z, [x1, #-6, mul vl] A4C3EF84 ld3h {z4.h, z5.h, z6.h}, p3/z, [x28, #0x9, mul vl] A4C3F4D3 ld3h {z19.h, z20.h, z21.h}, p5/z, [x6, #0x9, mul vl] // ld3h_z_p_br_contiguous 10100100110xxxxx110xxxxxxxxxxxxx A4DAD954 ld3h {z20.h, z21.h, z22.h}, p6/z, [x10, x26, lsl #0x1] A4DCC07B ld3h {z27.h, z28.h, z29.h}, p0/z, [x3, x28, lsl #0x1] A4D7DC0B ld3h {z11.h, z12.h, z13.h}, p7/z, [x0, x23, lsl #0x1] A4D6CF87 ld3h {z7.h, z8.h, z9.h}, p3/z, [x28, x22, lsl #0x1] A4CAD4EC ld3h {z12.h, z13.h, z14.h}, p5/z, [x7, x10, lsl #0x1] A4D1C9E9 ld3h {z9.h, z10.h, z11.h}, p2/z, [x15, x17, lsl #0x1] A4DBC1FC ld3h {z28.h, z29.h, z30.h}, p0/z, [x15, x27, lsl #0x1] A4C9C5DE ld3h {z30.h, z31.h, z0.h}, p1/z, [x14, x9, lsl #0x1] A4CCDF08 ld3h {z8.h, z9.h, z10.h}, p7/z, [x24, x12, lsl #0x1] A4D9DFC7 ld3h {z7.h, z8.h, z9.h}, p7/z, [x30, x25, lsl #0x1] A4DCC688 ld3h {z8.h, z9.h, z10.h}, p1/z, [x20, x28, lsl #0x1] A4CDC391 ld3h {z17.h, z18.h, z19.h}, p0/z, [x28, x13, lsl #0x1] A4DCDF24 ld3h {z4.h, z5.h, z6.h}, p7/z, [x25, x28, lsl #0x1] A4D1D78F ld3h {z15.h, z16.h, z17.h}, p5/z, [x28, x17, lsl #0x1] A4D1C3CA ld3h {z10.h, z11.h, z12.h}, p0/z, [x30, x17, lsl #0x1] A4C7D8AD ld3h {z13.h, z14.h, z15.h}, p6/z, [x5, x7, lsl #0x1] // ld3w_z_p_bi_contiguous 101001010100xxxx111xxxxxxxxxxxxx A541EC07 ld3w {z7.s, z8.s, z9.s}, p3/z, [x0, #0x3, mul vl] A544E785 ld3w {z5.s, z6.s, z7.s}, p1/z, [x28, #0xc, mul vl] A54BED9A ld3w {z26.s, z27.s, z28.s}, p3/z, [x12, #-15, mul vl] A546EE3C ld3w {z28.s, z29.s, z30.s}, p3/z, [x17, #0x12, mul vl] A545F0BB ld3w {z27.s, z28.s, z29.s}, p4/z, [x5, #0xf, mul vl] A54AFA43 ld3w {z3.s, z4.s, z5.s}, p6/z, [x18, #-18, mul vl] A54AED76 ld3w {z22.s, z23.s, z24.s}, p3/z, [x11, #-18, mul vl] A546E977 ld3w {z23.s, z24.s, z25.s}, p2/z, [x11, #0x12, mul vl] A548FF7B ld3w {z27.s, z28.s, z29.s}, p7/z, [x27, #-24, mul vl] A542FB9F ld3w {z31.s, z0.s, z1.s}, p6/z, [x28, #0x6, mul vl] A545E8C4 ld3w {z4.s, z5.s, z6.s}, p2/z, [x6, #0xf, mul vl] A546E799 ld3w {z25.s, z26.s, z27.s}, p1/z, [x28, #0x12, mul vl] A54CF09F ld3w {z31.s, z0.s, z1.s}, p4/z, [x4, #-12, mul vl] A544FE9E ld3w {z30.s, z31.s, z0.s}, p7/z, [x20, #0xc, mul vl] A547E42B ld3w {z11.s, z12.s, z13.s}, p1/z, [x1, #0x15, mul vl] A546E6AC ld3w {z12.s, z13.s, z14.s}, p1/z, [x21, #0x12, mul vl] // ld3w_z_p_br_contiguous 10100101010xxxxx110xxxxxxxxxxxxx A540C57E ld3w {z30.s, z31.s, z0.s}, p1/z, [x11, x0, lsl #0x2] A542D2DF ld3w {z31.s, z0.s, z1.s}, p4/z, [x22, x2, lsl #0x2] A559CE2B ld3w {z11.s, z12.s, z13.s}, p3/z, [x17, x25, lsl #0x2] A554C7C3 ld3w {z3.s, z4.s, z5.s}, p1/z, [x30, x20, lsl #0x2] A554D6BC ld3w {z28.s, z29.s, z30.s}, p5/z, [x21, x20, lsl #0x2] A555DBD2 ld3w {z18.s, z19.s, z20.s}, p6/z, [x30, x21, lsl #0x2] A557CD69 ld3w {z9.s, z10.s, z11.s}, p3/z, [x11, x23, lsl #0x2] A54BCBED ld3w {z13.s, z14.s, z15.s}, p2/z, [sp, x11, lsl #0x2] A555D0F1 ld3w {z17.s, z18.s, z19.s}, p4/z, [x7, x21, lsl #0x2] A54DC8A0 ld3w {z0.s, z1.s, z2.s}, p2/z, [x5, x13, lsl #0x2] A55CDB2A ld3w {z10.s, z11.s, z12.s}, p6/z, [x25, x28, lsl #0x2] A542CEA5 ld3w {z5.s, z6.s, z7.s}, p3/z, [x21, x2, lsl #0x2] A55BCBE6 ld3w {z6.s, z7.s, z8.s}, p2/z, [sp, x27, lsl #0x2] A540DD26 ld3w {z6.s, z7.s, z8.s}, p7/z, [x9, x0, lsl #0x2] A556DC14 ld3w {z20.s, z21.s, z22.s}, p7/z, [x0, x22, lsl #0x2] A55CD2CF ld3w {z15.s, z16.s, z17.s}, p4/z, [x22, x28, lsl #0x2] // ld4b_z_p_bi_contiguous 101001000110xxxx111xxxxxxxxxxxxx A46FED6D ld4b {z13.b, z14.b, z15.b, z16.b}, p3/z, [x11, #-4, mul vl] A460F113 ld4b {z19.b, z20.b, z21.b, z22.b}, p4/z, [x8] A462EBCB ld4b {z11.b, z12.b, z13.b, z14.b}, p2/z, [x30, #0x8, mul vl] A46DEA2F ld4b {z15.b, z16.b, z17.b, z18.b}, p2/z, [x17, #-12, mul vl] A46AE8CF ld4b {z15.b, z16.b, z17.b, z18.b}, p2/z, [x6, #-24, mul vl] A46FF83B ld4b {z27.b, z28.b, z29.b, z30.b}, p6/z, [x1, #-4, mul vl] A468E6B3 ld4b {z19.b, z20.b, z21.b, z22.b}, p1/z, [x21, #-32, mul vl] A46BFE30 ld4b {z16.b, z17.b, z18.b, z19.b}, p7/z, [x17, #-20, mul vl] A46DF7A4 ld4b {z4.b, z5.b, z6.b, z7.b}, p5/z, [x29, #-12, mul vl] A46AE355 ld4b {z21.b, z22.b, z23.b, z24.b}, p0/z, [x26, #-24, mul vl] A46DF2AA ld4b {z10.b, z11.b, z12.b, z13.b}, p4/z, [x21, #-12, mul vl] A463F1D3 ld4b {z19.b, z20.b, z21.b, z22.b}, p4/z, [x14, #0xc, mul vl] A461F914 ld4b {z20.b, z21.b, z22.b, z23.b}, p6/z, [x8, #0x4, mul vl] A466EF5B ld4b {z27.b, z28.b, z29.b, z30.b}, p3/z, [x26, #0x18, mul vl] A46AF1D8 ld4b {z24.b, z25.b, z26.b, z27.b}, p4/z, [x14, #-24, mul vl] A467EC56 ld4b {z22.b, z23.b, z24.b, z25.b}, p3/z, [x2, #0x1c, mul vl] // ld4b_z_p_br_contiguous 10100100011xxxxx110xxxxxxxxxxxxx A47CCC36 ld4b {z22.b, z23.b, z24.b, z25.b}, p3/z, [x1, x28] A465DAB1 ld4b {z17.b, z18.b, z19.b, z20.b}, p6/z, [x21, x5] A47DD770 ld4b {z16.b, z17.b, z18.b, z19.b}, p5/z, [x27, x29] A47BCCDF ld4b {z31.b, z0.b, z1.b, z2.b}, p3/z, [x6, x27] A466D4C2 ld4b {z2.b, z3.b, z4.b, z5.b}, p5/z, [x6, x6] A46AD3D5 ld4b {z21.b, z22.b, z23.b, z24.b}, p4/z, [x30, x10] A465C173 ld4b {z19.b, z20.b, z21.b, z22.b}, p0/z, [x11, x5] A464DFA4 ld4b {z4.b, z5.b, z6.b, z7.b}, p7/z, [x29, x4] A461D565 ld4b {z5.b, z6.b, z7.b, z8.b}, p5/z, [x11, x1] A478DC8C ld4b {z12.b, z13.b, z14.b, z15.b}, p7/z, [x4, x24] A462CBFB ld4b {z27.b, z28.b, z29.b, z30.b}, p2/z, [sp, x2] A47CD2C8 ld4b {z8.b, z9.b, z10.b, z11.b}, p4/z, [x22, x28] A464DBAF ld4b {z15.b, z16.b, z17.b, z18.b}, p6/z, [x29, x4] A467CEA5 ld4b {z5.b, z6.b, z7.b, z8.b}, p3/z, [x21, x7] A473C00A ld4b {z10.b, z11.b, z12.b, z13.b}, p0/z, [x0, x19] A471D6D6 ld4b {z22.b, z23.b, z24.b, z25.b}, p5/z, [x22, x17] // ld4d_z_p_bi_contiguous 101001011110xxxx111xxxxxxxxxxxxx A5E6F2F0 ld4d {z16.d, z17.d, z18.d, z19.d}, p4/z, [x23, #0x18, mul vl] A5E1F9E4 ld4d {z4.d, z5.d, z6.d, z7.d}, p6/z, [x15, #0x4, mul vl] A5E4E43C ld4d {z28.d, z29.d, z30.d, z31.d}, p1/z, [x1, #0x10, mul vl] A5EDF10D ld4d {z13.d, z14.d, z15.d, z16.d}, p4/z, [x8, #-12, mul vl] A5EBFB24 ld4d {z4.d, z5.d, z6.d, z7.d}, p6/z, [x25, #-20, mul vl] A5EFFDD9 ld4d {z25.d, z26.d, z27.d, z28.d}, p7/z, [x14, #-4, mul vl] A5E4FE36 ld4d {z22.d, z23.d, z24.d, z25.d}, p7/z, [x17, #0x10, mul vl] A5E4EC7D ld4d {z29.d, z30.d, z31.d, z0.d}, p3/z, [x3, #0x10, mul vl] A5E9F48D ld4d {z13.d, z14.d, z15.d, z16.d}, p5/z, [x4, #-28, mul vl] A5EDF342 ld4d {z2.d, z3.d, z4.d, z5.d}, p4/z, [x26, #-12, mul vl] A5E2FAF2 ld4d {z18.d, z19.d, z20.d, z21.d}, p6/z, [x23, #0x8, mul vl] A5E4E885 ld4d {z5.d, z6.d, z7.d, z8.d}, p2/z, [x4, #0x10, mul vl] A5EDE774 ld4d {z20.d, z21.d, z22.d, z23.d}, p1/z, [x27, #-12, mul vl] A5E5FDDF ld4d {z31.d, z0.d, z1.d, z2.d}, p7/z, [x14, #0x14, mul vl] A5EAE8AC ld4d {z12.d, z13.d, z14.d, z15.d}, p2/z, [x5, #-24, mul vl] A5E1ECD5 ld4d {z21.d, z22.d, z23.d, z24.d}, p3/z, [x6, #0x4, mul vl] // ld4d_z_p_br_contiguous 10100101111xxxxx110xxxxxxxxxxxxx A5EDCFA0 ld4d {z0.d, z1.d, z2.d, z3.d}, p3/z, [x29, x13, lsl #0x3] A5E9C6DB ld4d {z27.d, z28.d, z29.d, z30.d}, p1/z, [x22, x9, lsl #0x3] A5FECF49 ld4d {z9.d, z10.d, z11.d, z12.d}, p3/z, [x26, x30, lsl #0x3] A5E1C0CD ld4d {z13.d, z14.d, z15.d, z16.d}, p0/z, [x6, x1, lsl #0x3] A5EFC60F ld4d {z15.d, z16.d, z17.d, z18.d}, p1/z, [x16, x15, lsl #0x3] A5FDC188 ld4d {z8.d, z9.d, z10.d, z11.d}, p0/z, [x12, x29, lsl #0x3] A5ECDAF4 ld4d {z20.d, z21.d, z22.d, z23.d}, p6/z, [x23, x12, lsl #0x3] A5EBC101 ld4d {z1.d, z2.d, z3.d, z4.d}, p0/z, [x8, x11, lsl #0x3] A5ECC448 ld4d {z8.d, z9.d, z10.d, z11.d}, p1/z, [x2, x12, lsl #0x3] A5E1D206 ld4d {z6.d, z7.d, z8.d, z9.d}, p4/z, [x16, x1, lsl #0x3] A5E1C9E2 ld4d {z2.d, z3.d, z4.d, z5.d}, p2/z, [x15, x1, lsl #0x3] A5F5D4BB ld4d {z27.d, z28.d, z29.d, z30.d}, p5/z, [x5, x21, lsl #0x3] A5F9D1FB ld4d {z27.d, z28.d, z29.d, z30.d}, p4/z, [x15, x25, lsl #0x3] A5F3C238 ld4d {z24.d, z25.d, z26.d, z27.d}, p0/z, [x17, x19, lsl #0x3] A5F1DC0C ld4d {z12.d, z13.d, z14.d, z15.d}, p7/z, [x0, x17, lsl #0x3] A5EAD823 ld4d {z3.d, z4.d, z5.d, z6.d}, p6/z, [x1, x10, lsl #0x3] // ld4h_z_p_bi_contiguous 101001001110xxxx111xxxxxxxxxxxxx A4EFF2A7 ld4h {z7.h, z8.h, z9.h, z10.h}, p4/z, [x21, #-4, mul vl] A4E1E704 ld4h {z4.h, z5.h, z6.h, z7.h}, p1/z, [x24, #0x4, mul vl] A4E8E16E ld4h {z14.h, z15.h, z16.h, z17.h}, p0/z, [x11, #-32, mul vl] A4E4E195 ld4h {z21.h, z22.h, z23.h, z24.h}, p0/z, [x12, #0x10, mul vl] A4E3F90C ld4h {z12.h, z13.h, z14.h, z15.h}, p6/z, [x8, #0xc, mul vl] A4E1FA44 ld4h {z4.h, z5.h, z6.h, z7.h}, p6/z, [x18, #0x4, mul vl] A4E5F51E ld4h {z30.h, z31.h, z0.h, z1.h}, p5/z, [x8, #0x14, mul vl] A4E3FBAD ld4h {z13.h, z14.h, z15.h, z16.h}, p6/z, [x29, #0xc, mul vl] A4E4E817 ld4h {z23.h, z24.h, z25.h, z26.h}, p2/z, [x0, #0x10, mul vl] A4E4FE9B ld4h {z27.h, z28.h, z29.h, z30.h}, p7/z, [x20, #0x10, mul vl] A4E3E4D6 ld4h {z22.h, z23.h, z24.h, z25.h}, p1/z, [x6, #0xc, mul vl] A4E7F58C ld4h {z12.h, z13.h, z14.h, z15.h}, p5/z, [x12, #0x1c, mul vl] A4E6E3B2 ld4h {z18.h, z19.h, z20.h, z21.h}, p0/z, [x29, #0x18, mul vl] A4E4EC0E ld4h {z14.h, z15.h, z16.h, z17.h}, p3/z, [x0, #0x10, mul vl] A4E8FE48 ld4h {z8.h, z9.h, z10.h, z11.h}, p7/z, [x18, #-32, mul vl] A4EEF250 ld4h {z16.h, z17.h, z18.h, z19.h}, p4/z, [x18, #-8, mul vl] // ld4h_z_p_br_contiguous 10100100111xxxxx110xxxxxxxxxxxxx A4E4D247 ld4h {z7.h, z8.h, z9.h, z10.h}, p4/z, [x18, x4, lsl #0x1] A4E4C315 ld4h {z21.h, z22.h, z23.h, z24.h}, p0/z, [x24, x4, lsl #0x1] A4E5DA4B ld4h {z11.h, z12.h, z13.h, z14.h}, p6/z, [x18, x5, lsl #0x1] A4FEC669 ld4h {z9.h, z10.h, z11.h, z12.h}, p1/z, [x19, x30, lsl #0x1] A4F8D51C ld4h {z28.h, z29.h, z30.h, z31.h}, p5/z, [x8, x24, lsl #0x1] A4E4D708 ld4h {z8.h, z9.h, z10.h, z11.h}, p5/z, [x24, x4, lsl #0x1] A4EDC165 ld4h {z5.h, z6.h, z7.h, z8.h}, p0/z, [x11, x13, lsl #0x1] A4EFC6A7 ld4h {z7.h, z8.h, z9.h, z10.h}, p1/z, [x21, x15, lsl #0x1] A4FBD90D ld4h {z13.h, z14.h, z15.h, z16.h}, p6/z, [x8, x27, lsl #0x1] A4F7D471 ld4h {z17.h, z18.h, z19.h, z20.h}, p5/z, [x3, x23, lsl #0x1] A4EFC20C ld4h {z12.h, z13.h, z14.h, z15.h}, p0/z, [x16, x15, lsl #0x1] A4E1D816 ld4h {z22.h, z23.h, z24.h, z25.h}, p6/z, [x0, x1, lsl #0x1] A4EDC6CB ld4h {z11.h, z12.h, z13.h, z14.h}, p1/z, [x22, x13, lsl #0x1] A4E5CE88 ld4h {z8.h, z9.h, z10.h, z11.h}, p3/z, [x20, x5, lsl #0x1] A4E1C77C ld4h {z28.h, z29.h, z30.h, z31.h}, p1/z, [x27, x1, lsl #0x1] A4F2C3A0 ld4h {z0.h, z1.h, z2.h, z3.h}, p0/z, [x29, x18, lsl #0x1] // ld4w_z_p_bi_contiguous 101001010110xxxx111xxxxxxxxxxxxx A568E145 ld4w {z5.s, z6.s, z7.s, z8.s}, p0/z, [x10, #-32, mul vl] A56EF790 ld4w {z16.s, z17.s, z18.s, z19.s}, p5/z, [x28, #-8, mul vl] A56BEF8C ld4w {z12.s, z13.s, z14.s, z15.s}, p3/z, [x28, #-20, mul vl] A56DE73C ld4w {z28.s, z29.s, z30.s, z31.s}, p1/z, [x25, #-12, mul vl] A56CF225 ld4w {z5.s, z6.s, z7.s, z8.s}, p4/z, [x17, #-16, mul vl] A563E210 ld4w {z16.s, z17.s, z18.s, z19.s}, p0/z, [x16, #0xc, mul vl] A56CFB20 ld4w {z0.s, z1.s, z2.s, z3.s}, p6/z, [x25, #-16, mul vl] A56EFEEB ld4w {z11.s, z12.s, z13.s, z14.s}, p7/z, [x23, #-8, mul vl] A566EFC4 ld4w {z4.s, z5.s, z6.s, z7.s}, p3/z, [x30, #0x18, mul vl] A567F20E ld4w {z14.s, z15.s, z16.s, z17.s}, p4/z, [x16, #0x1c, mul vl] A56BE649 ld4w {z9.s, z10.s, z11.s, z12.s}, p1/z, [x18, #-20, mul vl] A56DED30 ld4w {z16.s, z17.s, z18.s, z19.s}, p3/z, [x9, #-12, mul vl] A565E840 ld4w {z0.s, z1.s, z2.s, z3.s}, p2/z, [x2, #0x14, mul vl] A565E8ED ld4w {z13.s, z14.s, z15.s, z16.s}, p2/z, [x7, #0x14, mul vl] A566FC94 ld4w {z20.s, z21.s, z22.s, z23.s}, p7/z, [x4, #0x18, mul vl] A56CFDD7 ld4w {z23.s, z24.s, z25.s, z26.s}, p7/z, [x14, #-16, mul vl] // ld4w_z_p_br_contiguous 10100101011xxxxx110xxxxxxxxxxxxx A568C4B2 ld4w {z18.s, z19.s, z20.s, z21.s}, p1/z, [x5, x8, lsl #0x2] A56ADC12 ld4w {z18.s, z19.s, z20.s, z21.s}, p7/z, [x0, x10, lsl #0x2] A569DAF6 ld4w {z22.s, z23.s, z24.s, z25.s}, p6/z, [x23, x9, lsl #0x2] A576C4C5 ld4w {z5.s, z6.s, z7.s, z8.s}, p1/z, [x6, x22, lsl #0x2] A574C5EE ld4w {z14.s, z15.s, z16.s, z17.s}, p1/z, [x15, x20, lsl #0x2] A577DD6F ld4w {z15.s, z16.s, z17.s, z18.s}, p7/z, [x11, x23, lsl #0x2] A573C449 ld4w {z9.s, z10.s, z11.s, z12.s}, p1/z, [x2, x19, lsl #0x2] A570CA73 ld4w {z19.s, z20.s, z21.s, z22.s}, p2/z, [x19, x16, lsl #0x2] A575C7B2 ld4w {z18.s, z19.s, z20.s, z21.s}, p1/z, [x29, x21, lsl #0x2] A56ECEC8 ld4w {z8.s, z9.s, z10.s, z11.s}, p3/z, [x22, x14, lsl #0x2] A57ECB88 ld4w {z8.s, z9.s, z10.s, z11.s}, p2/z, [x28, x30, lsl #0x2] A564C12D ld4w {z13.s, z14.s, z15.s, z16.s}, p0/z, [x9, x4, lsl #0x2] A57DDEDB ld4w {z27.s, z28.s, z29.s, z30.s}, p7/z, [x22, x29, lsl #0x2] A562C1BA ld4w {z26.s, z27.s, z28.s, z29.s}, p0/z, [x13, x2, lsl #0x2] A569D2DF ld4w {z31.s, z0.s, z1.s, z2.s}, p4/z, [x22, x9, lsl #0x2] A578D0B0 ld4w {z16.s, z17.s, z18.s, z19.s}, p4/z, [x5, x24, lsl #0x2] // ldff1b_z_p_ai_d 11000100001xxxxx111xxxxxxxxxxxxx C42EF8EB ldff1b {z11.d}, p6/z, [z7.d, #0xe] C42CF78F ldff1b {z15.d}, p5/z, [z28.d, #0xc] C431FCBA ldff1b {z26.d}, p7/z, [z5.d, #0x11] C42BE7E7 ldff1b {z7.d}, p1/z, [z31.d, #0xb] C423FD0E ldff1b {z14.d}, p7/z, [z8.d, #0x3] C438FAFA ldff1b {z26.d}, p6/z, [z23.d, #0x18] C431FBBC ldff1b {z28.d}, p6/z, [z29.d, #0x11] C425FFAA ldff1b {z10.d}, p7/z, [z29.d, #0x5] C428F55D ldff1b {z29.d}, p5/z, [z10.d, #0x8] C423E189 ldff1b {z9.d}, p0/z, [z12.d, #0x3] C420FB12 ldff1b {z18.d}, p6/z, [z24.d] C43EFC10 ldff1b {z16.d}, p7/z, [z0.d, #0x1e] C438E5AF ldff1b {z15.d}, p1/z, [z13.d, #0x18] C42CF0A5 ldff1b {z5.d}, p4/z, [z5.d, #0xc] C428F27B ldff1b {z27.d}, p4/z, [z19.d, #0x8] C435F9D0 ldff1b {z16.d}, p6/z, [z14.d, #0x15] // ldff1b_z_p_ai_s 10000100001xxxxx111xxxxxxxxxxxxx 843CF7C6 ldff1b {z6.s}, p5/z, [z30.s, #0x1c] 8435E2AF ldff1b {z15.s}, p0/z, [z21.s, #0x15] 8438E5C4 ldff1b {z4.s}, p1/z, [z14.s, #0x18] 8425F2A2 ldff1b {z2.s}, p4/z, [z21.s, #0x5] 8427E481 ldff1b {z1.s}, p1/z, [z4.s, #0x7] 8426E8F8 ldff1b {z24.s}, p2/z, [z7.s, #0x6] 842FFB71 ldff1b {z17.s}, p6/z, [z27.s, #0xf] 842EEE5E ldff1b {z30.s}, p3/z, [z18.s, #0xe] 8427F221 ldff1b {z1.s}, p4/z, [z17.s, #0x7] 8425EB56 ldff1b {z22.s}, p2/z, [z26.s, #0x5] 843EF4DD ldff1b {z29.s}, p5/z, [z6.s, #0x1e] 843BE5FE ldff1b {z30.s}, p1/z, [z15.s, #0x1b] 843BFB85 ldff1b {z5.s}, p6/z, [z28.s, #0x1b] 8436F53A ldff1b {z26.s}, p5/z, [z9.s, #0x16] 842CF061 ldff1b {z1.s}, p4/z, [z3.s, #0xc] 842FFEE4 ldff1b {z4.s}, p7/z, [z23.s, #0xf] // ldff1b_z_p_br_u16 10100100001xxxxx011xxxxxxxxxxxxx A439678A ldff1b {z10.h}, p1/z, [x28, x25] A4216EC5 ldff1b {z5.h}, p3/z, [x22, x1] A4207E3C ldff1b {z28.h}, p7/z, [x17, x0] A42F6BFB ldff1b {z27.h}, p2/z, [sp, x15] A42C635E ldff1b {z30.h}, p0/z, [x26, x12] A4347EA4 ldff1b {z4.h}, p7/z, [x21, x20] A43A6B17 ldff1b {z23.h}, p2/z, [x24, x26] A4377AA1 ldff1b {z1.h}, p6/z, [x21, x23] A4247605 ldff1b {z5.h}, p5/z, [x16, x4] A43A79C6 ldff1b {z6.h}, p6/z, [x14, x26] A4227899 ldff1b {z25.h}, p6/z, [x4, x2] A4346162 ldff1b {z2.h}, p0/z, [x11, x20] A436706A ldff1b {z10.h}, p4/z, [x3, x22] A4327E7C ldff1b {z28.h}, p7/z, [x19, x18] A42B640A ldff1b {z10.h}, p1/z, [x0, x11] A4386C3C ldff1b {z28.h}, p3/z, [x1, x24] // ldff1b_z_p_br_u32 10100100010xxxxx011xxxxxxxxxxxxx A45774DD ldff1b {z29.s}, p5/z, [x6, x23] A45E7575 ldff1b {z21.s}, p5/z, [x11, x30] A4536F26 ldff1b {z6.s}, p3/z, [x25, x19] A45B6B45 ldff1b {z5.s}, p2/z, [x26, x27] A453636B ldff1b {z11.s}, p0/z, [x27, x19] A44F6831 ldff1b {z17.s}, p2/z, [x1, x15] A4526A99 ldff1b {z25.s}, p2/z, [x20, x18] A44A7A56 ldff1b {z22.s}, p6/z, [x18, x10] A449778D ldff1b {z13.s}, p5/z, [x28, x9] A4486FAC ldff1b {z12.s}, p3/z, [x29, x8] A44C66A7 ldff1b {z7.s}, p1/z, [x21, x12] A4587D99 ldff1b {z25.s}, p7/z, [x12, x24] A44D717B ldff1b {z27.s}, p4/z, [x11, x13] A45A6462 ldff1b {z2.s}, p1/z, [x3, x26] A4577970 ldff1b {z16.s}, p6/z, [x11, x23] A4587E9D ldff1b {z29.s}, p7/z, [x20, x24] // ldff1b_z_p_br_u64 10100100011xxxxx011xxxxxxxxxxxxx A47773A8 ldff1b {z8.d}, p4/z, [x29, x23] A4707FC7 ldff1b {z7.d}, p7/z, [x30, x16] A4707827 ldff1b {z7.d}, p6/z, [x1, x16] A4796C88 ldff1b {z8.d}, p3/z, [x4, x25] A4606AB3 ldff1b {z19.d}, p2/z, [x21, x0] A47E7B74 ldff1b {z20.d}, p6/z, [x27, x30] A4616D6A ldff1b {z10.d}, p3/z, [x11, x1] A47966C1 ldff1b {z1.d}, p1/z, [x22, x25] A47279F0 ldff1b {z16.d}, p6/z, [x15, x18] A4636933 ldff1b {z19.d}, p2/z, [x9, x3] A4726BDE ldff1b {z30.d}, p2/z, [x30, x18] A46A7C2D ldff1b {z13.d}, p7/z, [x1, x10] A4727D32 ldff1b {z18.d}, p7/z, [x9, x18] A46B6EFE ldff1b {z30.d}, p3/z, [x23, x11] A46165F0 ldff1b {z16.d}, p1/z, [x15, x1] A47D7154 ldff1b {z20.d}, p4/z, [x10, x29] // ldff1b_z_p_br_u8 10100100000xxxxx011xxxxxxxxxxxxx A4097909 ldff1b {z9.b}, p6/z, [x8, x9] A41D6590 ldff1b {z16.b}, p1/z, [x12, x29] A41964A0 ldff1b {z0.b}, p1/z, [x5, x25] A40A6DF3 ldff1b {z19.b}, p3/z, [x15, x10] A41474F9 ldff1b {z25.b}, p5/z, [x7, x20] A418736E ldff1b {z14.b}, p4/z, [x27, x24] A4187BCD ldff1b {z13.b}, p6/z, [x30, x24] A41178F7 ldff1b {z23.b}, p6/z, [x7, x17] A41F650B ldff1b {z11.b}, p1/z, [x8, xzr] A4106C37 ldff1b {z23.b}, p3/z, [x1, x16] A40E642D ldff1b {z13.b}, p1/z, [x1, x14] A41D6CC6 ldff1b {z6.b}, p3/z, [x6, x29] A40A763C ldff1b {z28.b}, p5/z, [x17, x10] A4117C59 ldff1b {z25.b}, p7/z, [x2, x17] A4027670 ldff1b {z16.b}, p5/z, [x19, x2] A4037F18 ldff1b {z24.b}, p7/z, [x24, x3] // ldff1b_z_p_bz_d_64_unscaled 11000100010xxxxx111xxxxxxxxxxxxx C456EE71 ldff1b {z17.d}, p3/z, [x19, z22.d] C446ECB3 ldff1b {z19.d}, p3/z, [x5, z6.d] C458F877 ldff1b {z23.d}, p6/z, [x3, z24.d] C448F00D ldff1b {z13.d}, p4/z, [x0, z8.d] C45AE08F ldff1b {z15.d}, p0/z, [x4, z26.d] C450F128 ldff1b {z8.d}, p4/z, [x9, z16.d] C451E734 ldff1b {z20.d}, p1/z, [x25, z17.d] C455F358 ldff1b {z24.d}, p4/z, [x26, z21.d] C44FE349 ldff1b {z9.d}, p0/z, [x26, z15.d] C45BF448 ldff1b {z8.d}, p5/z, [x2, z27.d] C45CF979 ldff1b {z25.d}, p6/z, [x11, z28.d] C446F383 ldff1b {z3.d}, p4/z, [x28, z6.d] C441F957 ldff1b {z23.d}, p6/z, [x10, z1.d] C45AF181 ldff1b {z1.d}, p4/z, [x12, z26.d] C45CE755 ldff1b {z21.d}, p1/z, [x26, z28.d] C44DEC63 ldff1b {z3.d}, p3/z, [x3, z13.d] // ldff1b_z_p_bz_d_x32_unscaled 110001000x0xxxxx011xxxxxxxxxxxxx C443768C ldff1b {z12.d}, p5/z, [x20, z3.d, sxtw] C40E66F9 ldff1b {z25.d}, p1/z, [x23, z14.d, uxtw] C45875E9 ldff1b {z9.d}, p5/z, [x15, z24.d, sxtw] C4057AA8 ldff1b {z8.d}, p6/z, [x21, z5.d, uxtw] C40669DB ldff1b {z27.d}, p2/z, [x14, z6.d, uxtw] C40970AF ldff1b {z15.d}, p4/z, [x5, z9.d, uxtw] C4066D5B ldff1b {z27.d}, p3/z, [x10, z6.d, uxtw] C40E7DA0 ldff1b {z0.d}, p7/z, [x13, z14.d, uxtw] C45F6FB3 ldff1b {z19.d}, p3/z, [x29, z31.d, sxtw] C45467AC ldff1b {z12.d}, p1/z, [x29, z20.d, sxtw] C4466596 ldff1b {z22.d}, p1/z, [x12, z6.d, sxtw] C40C60CE ldff1b {z14.d}, p0/z, [x6, z12.d, uxtw] C40A7B3B ldff1b {z27.d}, p6/z, [x25, z10.d, uxtw] C407631A ldff1b {z26.d}, p0/z, [x24, z7.d, uxtw] C41067B4 ldff1b {z20.d}, p1/z, [x29, z16.d, uxtw] C41F6A51 ldff1b {z17.d}, p2/z, [x18, z31.d, uxtw] // ldff1b_z_p_bz_s_x32_unscaled 100001000x0xxxxx011xxxxxxxxxxxxx 845A7A34 ldff1b {z20.s}, p6/z, [x17, z26.s, sxtw] 841D72E0 ldff1b {z0.s}, p4/z, [x23, z29.s, uxtw] 84407604 ldff1b {z4.s}, p5/z, [x16, z0.s, sxtw] 841F7E0A ldff1b {z10.s}, p7/z, [x16, z31.s, uxtw] 84406476 ldff1b {z22.s}, p1/z, [x3, z0.s, sxtw] 84187DD8 ldff1b {z24.s}, p7/z, [x14, z24.s, uxtw] 840071F4 ldff1b {z20.s}, p4/z, [x15, z0.s, uxtw] 841269BE ldff1b {z30.s}, p2/z, [x13, z18.s, uxtw] 844F6653 ldff1b {z19.s}, p1/z, [x18, z15.s, sxtw] 844D6204 ldff1b {z4.s}, p0/z, [x16, z13.s, sxtw] 84507300 ldff1b {z0.s}, p4/z, [x24, z16.s, sxtw] 841A67B6 ldff1b {z22.s}, p1/z, [x29, z26.s, uxtw] 84017DD9 ldff1b {z25.s}, p7/z, [x14, z1.s, uxtw] 840C6DAD ldff1b {z13.s}, p3/z, [x13, z12.s, uxtw] 844477F1 ldff1b {z17.s}, p5/z, [sp, z4.s, sxtw] 84566664 ldff1b {z4.s}, p1/z, [x19, z22.s, sxtw] // ldff1d_z_p_ai_d 11000101101xxxxx111xxxxxxxxxxxxx C5A3E57D ldff1d {z29.d}, p1/z, [z11.d, #0x18] C5A7FAEB ldff1d {z11.d}, p6/z, [z23.d, #0x38] C5A3EB39 ldff1d {z25.d}, p2/z, [z25.d, #0x18] C5BDEF0C ldff1d {z12.d}, p3/z, [z24.d, #0xe8] C5BEEB54 ldff1d {z20.d}, p2/z, [z26.d, #0xf0] C5BDF958 ldff1d {z24.d}, p6/z, [z10.d, #0xe8] C5ACF25B ldff1d {z27.d}, p4/z, [z18.d, #0x60] C5BAFCD4 ldff1d {z20.d}, p7/z, [z6.d, #0xd0] C5B7E1CF ldff1d {z15.d}, p0/z, [z14.d, #0xb8] C5B9EF2F ldff1d {z15.d}, p3/z, [z25.d, #0xc8] C5BAE1B3 ldff1d {z19.d}, p0/z, [z13.d, #0xd0] C5A1E724 ldff1d {z4.d}, p1/z, [z25.d, #0x8] C5BDED1A ldff1d {z26.d}, p3/z, [z8.d, #0xe8] C5A3F426 ldff1d {z6.d}, p5/z, [z1.d, #0x18] C5A8FFC5 ldff1d {z5.d}, p7/z, [z30.d, #0x40] C5BAFB3D ldff1d {z29.d}, p6/z, [z25.d, #0xd0] // ldff1d_z_p_br_u64 10100101111xxxxx011xxxxxxxxxxxxx A5E66D76 ldff1d {z22.d}, p3/z, [x11, x6, lsl #0x3] A5EF6445 ldff1d {z5.d}, p1/z, [x2, x15, lsl #0x3] A5E06873 ldff1d {z19.d}, p2/z, [x3, x0, lsl #0x3] A5E56967 ldff1d {z7.d}, p2/z, [x11, x5, lsl #0x3] A5E76990 ldff1d {z16.d}, p2/z, [x12, x7, lsl #0x3] A5EE6CAD ldff1d {z13.d}, p3/z, [x5, x14, lsl #0x3] A5F76645 ldff1d {z5.d}, p1/z, [x18, x23, lsl #0x3] A5FF7316 ldff1d {z22.d}, p4/z, [x24, xzr, lsl #0x3] A5E17C38 ldff1d {z24.d}, p7/z, [x1, x1, lsl #0x3] A5E66608 ldff1d {z8.d}, p1/z, [x16, x6, lsl #0x3] A5FA6AD5 ldff1d {z21.d}, p2/z, [x22, x26, lsl #0x3] A5E5692D ldff1d {z13.d}, p2/z, [x9, x5, lsl #0x3] A5E87B7B ldff1d {z27.d}, p6/z, [x27, x8, lsl #0x3] A5E869DE ldff1d {z30.d}, p2/z, [x14, x8, lsl #0x3] A5E36765 ldff1d {z5.d}, p1/z, [x27, x3, lsl #0x3] A5F97AC5 ldff1d {z5.d}, p6/z, [x22, x25, lsl #0x3] // ldff1d_z_p_bz_d_64_scaled 11000101111xxxxx111xxxxxxxxxxxxx C5E9F8F0 ldff1d {z16.d}, p6/z, [x7, z9.d, lsl #0x3] C5EBF94D ldff1d {z13.d}, p6/z, [x10, z11.d, lsl #0x3] C5ECF5BB ldff1d {z27.d}, p5/z, [x13, z12.d, lsl #0x3] C5E2E104 ldff1d {z4.d}, p0/z, [x8, z2.d, lsl #0x3] C5F1FEEA ldff1d {z10.d}, p7/z, [x23, z17.d, lsl #0x3] C5E8E101 ldff1d {z1.d}, p0/z, [x8, z8.d, lsl #0x3] C5E8F8A4 ldff1d {z4.d}, p6/z, [x5, z8.d, lsl #0x3] C5E1F94B ldff1d {z11.d}, p6/z, [x10, z1.d, lsl #0x3] C5FFE062 ldff1d {z2.d}, p0/z, [x3, z31.d, lsl #0x3] C5E5E074 ldff1d {z20.d}, p0/z, [x3, z5.d, lsl #0x3] C5E8E65D ldff1d {z29.d}, p1/z, [x18, z8.d, lsl #0x3] C5FAE974 ldff1d {z20.d}, p2/z, [x11, z26.d, lsl #0x3] C5E4E1AB ldff1d {z11.d}, p0/z, [x13, z4.d, lsl #0x3] C5FFF806 ldff1d {z6.d}, p6/z, [x0, z31.d, lsl #0x3] C5FFEEEA ldff1d {z10.d}, p3/z, [x23, z31.d, lsl #0x3] C5E3ECA3 ldff1d {z3.d}, p3/z, [x5, z3.d, lsl #0x3] // ldff1d_z_p_bz_d_64_unscaled 11000101110xxxxx111xxxxxxxxxxxxx C5CCEB6E ldff1d {z14.d}, p2/z, [x27, z12.d] C5D3FB84 ldff1d {z4.d}, p6/z, [x28, z19.d] C5C9FEE9 ldff1d {z9.d}, p7/z, [x23, z9.d] C5CBED67 ldff1d {z7.d}, p3/z, [x11, z11.d] C5CDF173 ldff1d {z19.d}, p4/z, [x11, z13.d] C5D0E85B ldff1d {z27.d}, p2/z, [x2, z16.d] C5DFFE12 ldff1d {z18.d}, p7/z, [x16, z31.d] C5D8EA3D ldff1d {z29.d}, p2/z, [x17, z24.d] C5D6E1A5 ldff1d {z5.d}, p0/z, [x13, z22.d] C5CEF6F8 ldff1d {z24.d}, p5/z, [x23, z14.d] C5C3EA8B ldff1d {z11.d}, p2/z, [x20, z3.d] C5C0F9DD ldff1d {z29.d}, p6/z, [x14, z0.d] C5C3F4CB ldff1d {z11.d}, p5/z, [x6, z3.d] C5C6EE7E ldff1d {z30.d}, p3/z, [x19, z6.d] C5D1ECA1 ldff1d {z1.d}, p3/z, [x5, z17.d] C5C2FAF9 ldff1d {z25.d}, p6/z, [x23, z2.d] // ldff1d_z_p_bz_d_x32_scaled 110001011x1xxxxx011xxxxxxxxxxxxx C5E97BAA ldff1d {z10.d}, p6/z, [x29, z9.d, sxtw #0x3] C5EB7D9C ldff1d {z28.d}, p7/z, [x12, z11.d, sxtw #0x3] C5B561D4 ldff1d {z20.d}, p0/z, [x14, z21.d, uxtw #0x3] C5A26516 ldff1d {z22.d}, p1/z, [x8, z2.d, uxtw #0x3] C5B2699E ldff1d {z30.d}, p2/z, [x12, z18.d, uxtw #0x3] C5EF68A3 ldff1d {z3.d}, p2/z, [x5, z15.d, sxtw #0x3] C5BD757D ldff1d {z29.d}, p5/z, [x11, z29.d, uxtw #0x3] C5AD648B ldff1d {z11.d}, p1/z, [x4, z13.d, uxtw #0x3] C5F765CE ldff1d {z14.d}, p1/z, [x14, z23.d, sxtw #0x3] C5B66DE2 ldff1d {z2.d}, p3/z, [x15, z22.d, uxtw #0x3] C5AD790A ldff1d {z10.d}, p6/z, [x8, z13.d, uxtw #0x3] C5F06894 ldff1d {z20.d}, p2/z, [x4, z16.d, sxtw #0x3] C5E572EC ldff1d {z12.d}, p4/z, [x23, z5.d, sxtw #0x3] C5B96354 ldff1d {z20.d}, p0/z, [x26, z25.d, uxtw #0x3] C5EF6901 ldff1d {z1.d}, p2/z, [x8, z15.d, sxtw #0x3] C5FC6B65 ldff1d {z5.d}, p2/z, [x27, z28.d, sxtw #0x3] // ldff1d_z_p_bz_d_x32_unscaled 110001011x0xxxxx011xxxxxxxxxxxxx C5946824 ldff1d {z4.d}, p2/z, [x1, z20.d, uxtw] C5D3717E ldff1d {z30.d}, p4/z, [x11, z19.d, sxtw] C5D96B47 ldff1d {z7.d}, p2/z, [x26, z25.d, sxtw] C5966C50 ldff1d {z16.d}, p3/z, [x2, z22.d, uxtw] C5C06566 ldff1d {z6.d}, p1/z, [x11, z0.d, sxtw] C5CD6185 ldff1d {z5.d}, p0/z, [x12, z13.d, sxtw] C5987985 ldff1d {z5.d}, p6/z, [x12, z24.d, uxtw] C5DD6ECA ldff1d {z10.d}, p3/z, [x22, z29.d, sxtw] C5D2754E ldff1d {z14.d}, p5/z, [x10, z18.d, sxtw] C5C57582 ldff1d {z2.d}, p5/z, [x12, z5.d, sxtw] C5D56FE4 ldff1d {z4.d}, p3/z, [sp, z21.d, sxtw] C5867703 ldff1d {z3.d}, p5/z, [x24, z6.d, uxtw] C5D5645F ldff1d {z31.d}, p1/z, [x2, z21.d, sxtw] C59C6A09 ldff1d {z9.d}, p2/z, [x16, z28.d, uxtw] C58C77D4 ldff1d {z20.d}, p5/z, [x30, z12.d, uxtw] C5C36AFD ldff1d {z29.d}, p2/z, [x23, z3.d, sxtw] // ldff1h_z_p_ai_d 11000100101xxxxx111xxxxxxxxxxxxx C4B5F30D ldff1h {z13.d}, p4/z, [z24.d, #0x2a] C4A6FD80 ldff1h {z0.d}, p7/z, [z12.d, #0xc] C4BAF7CB ldff1h {z11.d}, p5/z, [z30.d, #0x34] C4B9EA2B ldff1h {z11.d}, p2/z, [z17.d, #0x32] C4ADF011 ldff1h {z17.d}, p4/z, [z0.d, #0x1a] C4B6F468 ldff1h {z8.d}, p5/z, [z3.d, #0x2c] C4A8EBA8 ldff1h {z8.d}, p2/z, [z29.d, #0x10] C4B2F474 ldff1h {z20.d}, p5/z, [z3.d, #0x24] C4AFF2D1 ldff1h {z17.d}, p4/z, [z22.d, #0x1e] C4AFE0B4 ldff1h {z20.d}, p0/z, [z5.d, #0x1e] C4B6FD22 ldff1h {z2.d}, p7/z, [z9.d, #0x2c] C4A7F6BD ldff1h {z29.d}, p5/z, [z21.d, #0xe] C4A0E4A6 ldff1h {z6.d}, p1/z, [z5.d] C4BAE988 ldff1h {z8.d}, p2/z, [z12.d, #0x34] C4BCE35D ldff1h {z29.d}, p0/z, [z26.d, #0x38] C4A6E5EA ldff1h {z10.d}, p1/z, [z15.d, #0xc] // ldff1h_z_p_ai_s 10000100101xxxxx111xxxxxxxxxxxxx 84ADE0A0 ldff1h {z0.s}, p0/z, [z5.s, #0x1a] 84A5E28A ldff1h {z10.s}, p0/z, [z20.s, #0xa] 84BFEE39 ldff1h {z25.s}, p3/z, [z17.s, #0x3e] 84B5F49A ldff1h {z26.s}, p5/z, [z4.s, #0x2a] 84A3E4B2 ldff1h {z18.s}, p1/z, [z5.s, #0x6] 84AFFD41 ldff1h {z1.s}, p7/z, [z10.s, #0x1e] 84ADF6FB ldff1h {z27.s}, p5/z, [z23.s, #0x1a] 84B4F201 ldff1h {z1.s}, p4/z, [z16.s, #0x28] 84A2E767 ldff1h {z7.s}, p1/z, [z27.s, #0x4] 84B9EAD9 ldff1h {z25.s}, p2/z, [z22.s, #0x32] 84BCFABB ldff1h {z27.s}, p6/z, [z21.s, #0x38] 84B8E786 ldff1h {z6.s}, p1/z, [z28.s, #0x30] 84A8F3CF ldff1h {z15.s}, p4/z, [z30.s, #0x10] 84A5F07E ldff1h {z30.s}, p4/z, [z3.s, #0xa] 84B6F7BC ldff1h {z28.s}, p5/z, [z29.s, #0x2c] 84A8F615 ldff1h {z21.s}, p5/z, [z16.s, #0x10] // ldff1h_z_p_br_u16 10100100101xxxxx011xxxxxxxxxxxxx A4AF75ED ldff1h {z13.h}, p5/z, [x15, x15, lsl #0x1] A4AB7170 ldff1h {z16.h}, p4/z, [x11, x11, lsl #0x1] A4AC784B ldff1h {z11.h}, p6/z, [x2, x12, lsl #0x1] A4BA753A ldff1h {z26.h}, p5/z, [x9, x26, lsl #0x1] A4BB618E ldff1h {z14.h}, p0/z, [x12, x27, lsl #0x1] A4BB60AB ldff1h {z11.h}, p0/z, [x5, x27, lsl #0x1] A4B17315 ldff1h {z21.h}, p4/z, [x24, x17, lsl #0x1] A4B77674 ldff1h {z20.h}, p5/z, [x19, x23, lsl #0x1] A4B565B4 ldff1h {z20.h}, p1/z, [x13, x21, lsl #0x1] A4A26A2E ldff1h {z14.h}, p2/z, [x17, x2, lsl #0x1] A4A679CA ldff1h {z10.h}, p6/z, [x14, x6, lsl #0x1] A4B16784 ldff1h {z4.h}, p1/z, [x28, x17, lsl #0x1] A4AA692F ldff1h {z15.h}, p2/z, [x9, x10, lsl #0x1] A4B072F3 ldff1h {z19.h}, p4/z, [x23, x16, lsl #0x1] A4A17C37 ldff1h {z23.h}, p7/z, [x1, x1, lsl #0x1] A4A07DB1 ldff1h {z17.h}, p7/z, [x13, x0, lsl #0x1] // ldff1h_z_p_br_u32 10100100110xxxxx011xxxxxxxxxxxxx A4CF7FB4 ldff1h {z20.s}, p7/z, [x29, x15, lsl #0x1] A4C67BF5 ldff1h {z21.s}, p6/z, [sp, x6, lsl #0x1] A4CA6514 ldff1h {z20.s}, p1/z, [x8, x10, lsl #0x1] A4CA7E70 ldff1h {z16.s}, p7/z, [x19, x10, lsl #0x1] A4C866EA ldff1h {z10.s}, p1/z, [x23, x8, lsl #0x1] A4DD7F40 ldff1h {z0.s}, p7/z, [x26, x29, lsl #0x1] A4DE687F ldff1h {z31.s}, p2/z, [x3, x30, lsl #0x1] A4C966C8 ldff1h {z8.s}, p1/z, [x22, x9, lsl #0x1] A4D67811 ldff1h {z17.s}, p6/z, [x0, x22, lsl #0x1] A4C26CB5 ldff1h {z21.s}, p3/z, [x5, x2, lsl #0x1] A4D2713F ldff1h {z31.s}, p4/z, [x9, x18, lsl #0x1] A4D179CA ldff1h {z10.s}, p6/z, [x14, x17, lsl #0x1] A4D06FFA ldff1h {z26.s}, p3/z, [sp, x16, lsl #0x1] A4C77FE0 ldff1h {z0.s}, p7/z, [sp, x7, lsl #0x1] A4D06DBE ldff1h {z30.s}, p3/z, [x13, x16, lsl #0x1] A4D47552 ldff1h {z18.s}, p5/z, [x10, x20, lsl #0x1] // ldff1h_z_p_br_u64 10100100111xxxxx011xxxxxxxxxxxxx A4E87F70 ldff1h {z16.d}, p7/z, [x27, x8, lsl #0x1] A4F575EC ldff1h {z12.d}, p5/z, [x15, x21, lsl #0x1] A4F76E38 ldff1h {z24.d}, p3/z, [x17, x23, lsl #0x1] A4FA7D26 ldff1h {z6.d}, p7/z, [x9, x26, lsl #0x1] A4E76751 ldff1h {z17.d}, p1/z, [x26, x7, lsl #0x1] A4F37BF0 ldff1h {z16.d}, p6/z, [sp, x19, lsl #0x1] A4F868B2 ldff1h {z18.d}, p2/z, [x5, x24, lsl #0x1] A4F8605D ldff1h {z29.d}, p0/z, [x2, x24, lsl #0x1] A4EA7CB1 ldff1h {z17.d}, p7/z, [x5, x10, lsl #0x1] A4EC61B2 ldff1h {z18.d}, p0/z, [x13, x12, lsl #0x1] A4FD76AD ldff1h {z13.d}, p5/z, [x21, x29, lsl #0x1] A4FE7F15 ldff1h {z21.d}, p7/z, [x24, x30, lsl #0x1] A4F975E9 ldff1h {z9.d}, p5/z, [x15, x25, lsl #0x1] A4FE798F ldff1h {z15.d}, p6/z, [x12, x30, lsl #0x1] A4EA655B ldff1h {z27.d}, p1/z, [x10, x10, lsl #0x1] A4E46466 ldff1h {z6.d}, p1/z, [x3, x4, lsl #0x1] // ldff1h_z_p_bz_d_64_scaled 11000100111xxxxx111xxxxxxxxxxxxx C4FBEB27 ldff1h {z7.d}, p2/z, [x25, z27.d, lsl #0x1] C4E1EAA0 ldff1h {z0.d}, p2/z, [x21, z1.d, lsl #0x1] C4E9FD14 ldff1h {z20.d}, p7/z, [x8, z9.d, lsl #0x1] C4FCEE21 ldff1h {z1.d}, p3/z, [x17, z28.d, lsl #0x1] C4FAF2A7 ldff1h {z7.d}, p4/z, [x21, z26.d, lsl #0x1] C4E4FADB ldff1h {z27.d}, p6/z, [x22, z4.d, lsl #0x1] C4E6EFBA ldff1h {z26.d}, p3/z, [x29, z6.d, lsl #0x1] C4FFEC4D ldff1h {z13.d}, p3/z, [x2, z31.d, lsl #0x1] C4EFE9E2 ldff1h {z2.d}, p2/z, [x15, z15.d, lsl #0x1] C4FDE420 ldff1h {z0.d}, p1/z, [x1, z29.d, lsl #0x1] C4E4ECC7 ldff1h {z7.d}, p3/z, [x6, z4.d, lsl #0x1] C4FFF669 ldff1h {z9.d}, p5/z, [x19, z31.d, lsl #0x1] C4F9E7F9 ldff1h {z25.d}, p1/z, [sp, z25.d, lsl #0x1] C4F4E02B ldff1h {z11.d}, p0/z, [x1, z20.d, lsl #0x1] C4E5E2D0 ldff1h {z16.d}, p0/z, [x22, z5.d, lsl #0x1] C4F9EA91 ldff1h {z17.d}, p2/z, [x20, z25.d, lsl #0x1] // ldff1h_z_p_bz_d_64_unscaled 11000100110xxxxx111xxxxxxxxxxxxx C4C8FC71 ldff1h {z17.d}, p7/z, [x3, z8.d] C4CDED69 ldff1h {z9.d}, p3/z, [x11, z13.d] C4C6EA97 ldff1h {z23.d}, p2/z, [x20, z6.d] C4DFE548 ldff1h {z8.d}, p1/z, [x10, z31.d] C4DDF047 ldff1h {z7.d}, p4/z, [x2, z29.d] C4D3F84E ldff1h {z14.d}, p6/z, [x2, z19.d] C4C8F5A4 ldff1h {z4.d}, p5/z, [x13, z8.d] C4DBF673 ldff1h {z19.d}, p5/z, [x19, z27.d] C4DBEF16 ldff1h {z22.d}, p3/z, [x24, z27.d] C4C2FDEC ldff1h {z12.d}, p7/z, [x15, z2.d] C4D9E368 ldff1h {z8.d}, p0/z, [x27, z25.d] C4D7F553 ldff1h {z19.d}, p5/z, [x10, z23.d] C4CEF11D ldff1h {z29.d}, p4/z, [x8, z14.d] C4CCED9D ldff1h {z29.d}, p3/z, [x12, z12.d] C4C8F94C ldff1h {z12.d}, p6/z, [x10, z8.d] C4D9E92E ldff1h {z14.d}, p2/z, [x9, z25.d] // ldff1h_z_p_bz_d_x32_scaled 110001001x1xxxxx011xxxxxxxxxxxxx C4A36EA8 ldff1h {z8.d}, p3/z, [x21, z3.d, uxtw #0x1] C4A67BCA ldff1h {z10.d}, p6/z, [x30, z6.d, uxtw #0x1] C4A86250 ldff1h {z16.d}, p0/z, [x18, z8.d, uxtw #0x1] C4B06FAB ldff1h {z11.d}, p3/z, [x29, z16.d, uxtw #0x1] C4B6653B ldff1h {z27.d}, p1/z, [x9, z22.d, uxtw #0x1] C4A17841 ldff1h {z1.d}, p6/z, [x2, z1.d, uxtw #0x1] C4BF742F ldff1h {z15.d}, p5/z, [x1, z31.d, uxtw #0x1] C4AF7C12 ldff1h {z18.d}, p7/z, [x0, z15.d, uxtw #0x1] C4BA6737 ldff1h {z23.d}, p1/z, [x25, z26.d, uxtw #0x1] C4E46AAE ldff1h {z14.d}, p2/z, [x21, z4.d, sxtw #0x1] C4E17729 ldff1h {z9.d}, p5/z, [x25, z1.d, sxtw #0x1] C4FF76AD ldff1h {z13.d}, p5/z, [x21, z31.d, sxtw #0x1] C4AF641E ldff1h {z30.d}, p1/z, [x0, z15.d, uxtw #0x1] C4F67C72 ldff1h {z18.d}, p7/z, [x3, z22.d, sxtw #0x1] C4BC6525 ldff1h {z5.d}, p1/z, [x9, z28.d, uxtw #0x1] C4BD6568 ldff1h {z8.d}, p1/z, [x11, z29.d, uxtw #0x1] // ldff1h_z_p_bz_d_x32_unscaled 110001001x0xxxxx011xxxxxxxxxxxxx C49278F7 ldff1h {z23.d}, p6/z, [x7, z18.d, uxtw] C4C87236 ldff1h {z22.d}, p4/z, [x17, z8.d, sxtw] C4917967 ldff1h {z7.d}, p6/z, [x11, z17.d, uxtw] C4D37947 ldff1h {z7.d}, p6/z, [x10, z19.d, sxtw] C49176ED ldff1h {z13.d}, p5/z, [x23, z17.d, uxtw] C4CF6402 ldff1h {z2.d}, p1/z, [x0, z15.d, sxtw] C4CE7289 ldff1h {z9.d}, p4/z, [x20, z14.d, sxtw] C48573E8 ldff1h {z8.d}, p4/z, [sp, z5.d, uxtw] C4C973FE ldff1h {z30.d}, p4/z, [sp, z9.d, sxtw] C49E7912 ldff1h {z18.d}, p6/z, [x8, z30.d, uxtw] C4C6646D ldff1h {z13.d}, p1/z, [x3, z6.d, sxtw] C49D668F ldff1h {z15.d}, p1/z, [x20, z29.d, uxtw] C48863B6 ldff1h {z22.d}, p0/z, [x29, z8.d, uxtw] C4CD64C0 ldff1h {z0.d}, p1/z, [x6, z13.d, sxtw] C4C179F9 ldff1h {z25.d}, p6/z, [x15, z1.d, sxtw] C4D96B8B ldff1h {z11.d}, p2/z, [x28, z25.d, sxtw] // ldff1h_z_p_bz_s_x32_scaled 100001001x1xxxxx011xxxxxxxxxxxxx 84B76A82 ldff1h {z2.s}, p2/z, [x20, z23.s, uxtw #0x1] 84E0690F ldff1h {z15.s}, p2/z, [x8, z0.s, sxtw #0x1] 84F26AE9 ldff1h {z9.s}, p2/z, [x23, z18.s, sxtw #0x1] 84A1726F ldff1h {z15.s}, p4/z, [x19, z1.s, uxtw #0x1] 84A9797C ldff1h {z28.s}, p6/z, [x11, z9.s, uxtw #0x1] 84ED7F30 ldff1h {z16.s}, p7/z, [x25, z13.s, sxtw #0x1] 84FB63E4 ldff1h {z4.s}, p0/z, [sp, z27.s, sxtw #0x1] 84B1611C ldff1h {z28.s}, p0/z, [x8, z17.s, uxtw #0x1] 84E47232 ldff1h {z18.s}, p4/z, [x17, z4.s, sxtw #0x1] 84B27670 ldff1h {z16.s}, p5/z, [x19, z18.s, uxtw #0x1] 84B27644 ldff1h {z4.s}, p5/z, [x18, z18.s, uxtw #0x1] 84A57765 ldff1h {z5.s}, p5/z, [x27, z5.s, uxtw #0x1] 84AF6E45 ldff1h {z5.s}, p3/z, [x18, z15.s, uxtw #0x1] 84A360F6 ldff1h {z22.s}, p0/z, [x7, z3.s, uxtw #0x1] 84EC6DDE ldff1h {z30.s}, p3/z, [x14, z12.s, sxtw #0x1] 84BE64C8 ldff1h {z8.s}, p1/z, [x6, z30.s, uxtw #0x1] // ldff1h_z_p_bz_s_x32_unscaled 100001001x0xxxxx011xxxxxxxxxxxxx 84C86D3E ldff1h {z30.s}, p3/z, [x9, z8.s, sxtw] 849F628A ldff1h {z10.s}, p0/z, [x20, z31.s, uxtw] 84C27008 ldff1h {z8.s}, p4/z, [x0, z2.s, sxtw] 84CD7501 ldff1h {z1.s}, p5/z, [x8, z13.s, sxtw] 848A7085 ldff1h {z5.s}, p4/z, [x4, z10.s, uxtw] 84CC7B61 ldff1h {z1.s}, p6/z, [x27, z12.s, sxtw] 84C46977 ldff1h {z23.s}, p2/z, [x11, z4.s, sxtw] 849577AA ldff1h {z10.s}, p5/z, [x29, z21.s, uxtw] 848F67E3 ldff1h {z3.s}, p1/z, [sp, z15.s, uxtw] 849968D5 ldff1h {z21.s}, p2/z, [x6, z25.s, uxtw] 84DF6D1D ldff1h {z29.s}, p3/z, [x8, z31.s, sxtw] 84827D0B ldff1h {z11.s}, p7/z, [x8, z2.s, uxtw] 849A7949 ldff1h {z9.s}, p6/z, [x10, z26.s, uxtw] 848260D0 ldff1h {z16.s}, p0/z, [x6, z2.s, uxtw] 84D16D74 ldff1h {z20.s}, p3/z, [x11, z17.s, sxtw] 848E60CE ldff1h {z14.s}, p0/z, [x6, z14.s, uxtw] // ldff1sb_z_p_ai_d 11000100001xxxxx101xxxxxxxxxxxxx C42DA7AE ldff1sb {z14.d}, p1/z, [z29.d, #0xd] C422B117 ldff1sb {z23.d}, p4/z, [z8.d, #0x2] C438BBF9 ldff1sb {z25.d}, p6/z, [z31.d, #0x18] C433A4EA ldff1sb {z10.d}, p1/z, [z7.d, #0x13] C420A42F ldff1sb {z15.d}, p1/z, [z1.d] C430A107 ldff1sb {z7.d}, p0/z, [z8.d, #0x10] C436A399 ldff1sb {z25.d}, p0/z, [z28.d, #0x16] C426B0B4 ldff1sb {z20.d}, p4/z, [z5.d, #0x6] C43FA687 ldff1sb {z7.d}, p1/z, [z20.d, #0x1f] C427BF93 ldff1sb {z19.d}, p7/z, [z28.d, #0x7] C439ABAD ldff1sb {z13.d}, p2/z, [z29.d, #0x19] C43DB81F ldff1sb {z31.d}, p6/z, [z0.d, #0x1d] C43FB5E6 ldff1sb {z6.d}, p5/z, [z15.d, #0x1f] C436ACFA ldff1sb {z26.d}, p3/z, [z7.d, #0x16] C42EB6D2 ldff1sb {z18.d}, p5/z, [z22.d, #0xe] C438BEE6 ldff1sb {z6.d}, p7/z, [z23.d, #0x18] // ldff1sb_z_p_ai_s 10000100001xxxxx101xxxxxxxxxxxxx 8436A4BC ldff1sb {z28.s}, p1/z, [z5.s, #0x16] 8421BA01 ldff1sb {z1.s}, p6/z, [z16.s, #0x1] 8426A9FF ldff1sb {z31.s}, p2/z, [z15.s, #0x6] 8424B318 ldff1sb {z24.s}, p4/z, [z24.s, #0x4] 842BA17B ldff1sb {z27.s}, p0/z, [z11.s, #0xb] 843EA7F0 ldff1sb {z16.s}, p1/z, [z31.s, #0x1e] 8428BBB4 ldff1sb {z20.s}, p6/z, [z29.s, #0x8] 842FBEB4 ldff1sb {z20.s}, p7/z, [z21.s, #0xf] 8423AB08 ldff1sb {z8.s}, p2/z, [z24.s, #0x3] 842CB400 ldff1sb {z0.s}, p5/z, [z0.s, #0xc] 8439B9A7 ldff1sb {z7.s}, p6/z, [z13.s, #0x19] 843CA7B2 ldff1sb {z18.s}, p1/z, [z29.s, #0x1c] 8434B35F ldff1sb {z31.s}, p4/z, [z26.s, #0x14] 842DBB07 ldff1sb {z7.s}, p6/z, [z24.s, #0xd] 8425A712 ldff1sb {z18.s}, p1/z, [z24.s, #0x5] 842BABF2 ldff1sb {z18.s}, p2/z, [z31.s, #0xb] // ldff1sb_z_p_br_s16 10100101110xxxxx011xxxxxxxxxxxxx A5C26871 ldff1sb {z17.h}, p2/z, [x3, x2] A5CF645C ldff1sb {z28.h}, p1/z, [x2, x15] A5C6675B ldff1sb {z27.h}, p1/z, [x26, x6] A5D76081 ldff1sb {z1.h}, p0/z, [x4, x23] A5DB63E0 ldff1sb {z0.h}, p0/z, [sp, x27] A5D6738A ldff1sb {z10.h}, p4/z, [x28, x22] A5D06BC8 ldff1sb {z8.h}, p2/z, [x30, x16] A5D16FB8 ldff1sb {z24.h}, p3/z, [x29, x17] A5DD7EF7 ldff1sb {z23.h}, p7/z, [x23, x29] A5C7728B ldff1sb {z11.h}, p4/z, [x20, x7] A5C27E6F ldff1sb {z15.h}, p7/z, [x19, x2] A5CE6AD5 ldff1sb {z21.h}, p2/z, [x22, x14] A5CF64FC ldff1sb {z28.h}, p1/z, [x7, x15] A5D678DC ldff1sb {z28.h}, p6/z, [x6, x22] A5D86190 ldff1sb {z16.h}, p0/z, [x12, x24] A5D07F81 ldff1sb {z1.h}, p7/z, [x28, x16] // ldff1sb_z_p_br_s32 10100101101xxxxx011xxxxxxxxxxxxx A5B27957 ldff1sb {z23.s}, p6/z, [x10, x18] A5AB61C9 ldff1sb {z9.s}, p0/z, [x14, x11] A5B9715F ldff1sb {z31.s}, p4/z, [x10, x25] A5B2742B ldff1sb {z11.s}, p5/z, [x1, x18] A5B5760F ldff1sb {z15.s}, p5/z, [x16, x21] A5AF7A3F ldff1sb {z31.s}, p6/z, [x17, x15] A5AA645F ldff1sb {z31.s}, p1/z, [x2, x10] A5B67300 ldff1sb {z0.s}, p4/z, [x24, x22] A5B17917 ldff1sb {z23.s}, p6/z, [x8, x17] A5BD64D1 ldff1sb {z17.s}, p1/z, [x6, x29] A5A96AD1 ldff1sb {z17.s}, p2/z, [x22, x9] A5A779A4 ldff1sb {z4.s}, p6/z, [x13, x7] A5B27977 ldff1sb {z23.s}, p6/z, [x11, x18] A5B376A8 ldff1sb {z8.s}, p5/z, [x21, x19] A5AA7649 ldff1sb {z9.s}, p5/z, [x18, x10] A5AE743B ldff1sb {z27.s}, p5/z, [x1, x14] // ldff1sb_z_p_br_s64 10100101100xxxxx011xxxxxxxxxxxxx A58B6379 ldff1sb {z25.d}, p0/z, [x27, x11] A59162CD ldff1sb {z13.d}, p0/z, [x22, x17] A59B640D ldff1sb {z13.d}, p1/z, [x0, x27] A5916DBB ldff1sb {z27.d}, p3/z, [x13, x17] A5977582 ldff1sb {z2.d}, p5/z, [x12, x23] A58C630D ldff1sb {z13.d}, p0/z, [x24, x12] A58D6F87 ldff1sb {z7.d}, p3/z, [x28, x13] A59E615B ldff1sb {z27.d}, p0/z, [x10, x30] A59F72F5 ldff1sb {z21.d}, p4/z, [x23, xzr] A58B7F92 ldff1sb {z18.d}, p7/z, [x28, x11] A59F7C50 ldff1sb {z16.d}, p7/z, [x2, xzr] A59C714F ldff1sb {z15.d}, p4/z, [x10, x28] A59F79B8 ldff1sb {z24.d}, p6/z, [x13, xzr] A5957139 ldff1sb {z25.d}, p4/z, [x9, x21] A596633F ldff1sb {z31.d}, p0/z, [x25, x22] A59169F5 ldff1sb {z21.d}, p2/z, [x15, x17] // ldff1sb_z_p_bz_d_64_unscaled 11000100010xxxxx101xxxxxxxxxxxxx C45CB48A ldff1sb {z10.d}, p5/z, [x4, z28.d] C45ABE41 ldff1sb {z1.d}, p7/z, [x18, z26.d] C453A1A4 ldff1sb {z4.d}, p0/z, [x13, z19.d] C440BDBB ldff1sb {z27.d}, p7/z, [x13, z0.d] C457B34E ldff1sb {z14.d}, p4/z, [x26, z23.d] C44CBF0B ldff1sb {z11.d}, p7/z, [x24, z12.d] C447A559 ldff1sb {z25.d}, p1/z, [x10, z7.d] C455A101 ldff1sb {z1.d}, p0/z, [x8, z21.d] C448AC7B ldff1sb {z27.d}, p3/z, [x3, z8.d] C44EAC56 ldff1sb {z22.d}, p3/z, [x2, z14.d] C45BA0CA ldff1sb {z10.d}, p0/z, [x6, z27.d] C441B861 ldff1sb {z1.d}, p6/z, [x3, z1.d] C44CA274 ldff1sb {z20.d}, p0/z, [x19, z12.d] C445BCE0 ldff1sb {z0.d}, p7/z, [x7, z5.d] C442A24D ldff1sb {z13.d}, p0/z, [x18, z2.d] C452BF13 ldff1sb {z19.d}, p7/z, [x24, z18.d] // ldff1sb_z_p_bz_d_x32_unscaled 110001000x0xxxxx001xxxxxxxxxxxxx C4523CBF ldff1sb {z31.d}, p7/z, [x5, z18.d, sxtw] C4412FDF ldff1sb {z31.d}, p3/z, [x30, z1.d, sxtw] C41C21E6 ldff1sb {z6.d}, p0/z, [x15, z28.d, uxtw] C4562279 ldff1sb {z25.d}, p0/z, [x19, z22.d, sxtw] C41E2D84 ldff1sb {z4.d}, p3/z, [x12, z30.d, uxtw] C4003D95 ldff1sb {z21.d}, p7/z, [x12, z0.d, uxtw] C44F25AA ldff1sb {z10.d}, p1/z, [x13, z15.d, sxtw] C4563DFD ldff1sb {z29.d}, p7/z, [x15, z22.d, sxtw] C40B2BC2 ldff1sb {z2.d}, p2/z, [x30, z11.d, uxtw] C45E360F ldff1sb {z15.d}, p5/z, [x16, z30.d, sxtw] C41524C1 ldff1sb {z1.d}, p1/z, [x6, z21.d, uxtw] C4122F0B ldff1sb {z11.d}, p3/z, [x24, z18.d, uxtw] C4033497 ldff1sb {z23.d}, p5/z, [x4, z3.d, uxtw] C4132A4A ldff1sb {z10.d}, p2/z, [x18, z19.d, uxtw] C40D3DF1 ldff1sb {z17.d}, p7/z, [x15, z13.d, uxtw] C41B3B66 ldff1sb {z6.d}, p6/z, [x27, z27.d, uxtw] // ldff1sb_z_p_bz_s_x32_unscaled 100001000x0xxxxx001xxxxxxxxxxxxx 84153126 ldff1sb {z6.s}, p4/z, [x9, z21.s, uxtw] 845D21CF ldff1sb {z15.s}, p0/z, [x14, z29.s, sxtw] 84573EAB ldff1sb {z11.s}, p7/z, [x21, z23.s, sxtw] 840234EE ldff1sb {z14.s}, p5/z, [x7, z2.s, uxtw] 84103538 ldff1sb {z24.s}, p5/z, [x9, z16.s, uxtw] 844C3FC7 ldff1sb {z7.s}, p7/z, [x30, z12.s, sxtw] 845230F5 ldff1sb {z21.s}, p4/z, [x7, z18.s, sxtw] 841228F1 ldff1sb {z17.s}, p2/z, [x7, z18.s, uxtw] 8413272F ldff1sb {z15.s}, p1/z, [x25, z19.s, uxtw] 84043F1F ldff1sb {z31.s}, p7/z, [x24, z4.s, uxtw] 8407375D ldff1sb {z29.s}, p5/z, [x26, z7.s, uxtw] 84123594 ldff1sb {z20.s}, p5/z, [x12, z18.s, uxtw] 840825D6 ldff1sb {z22.s}, p1/z, [x14, z8.s, uxtw] 841B2049 ldff1sb {z9.s}, p0/z, [x2, z27.s, uxtw] 845639F0 ldff1sb {z16.s}, p6/z, [x15, z22.s, sxtw] 84482B8C ldff1sb {z12.s}, p2/z, [x28, z8.s, sxtw] // ldff1sh_z_p_ai_d 11000100101xxxxx101xxxxxxxxxxxxx C4A4BA39 ldff1sh {z25.d}, p6/z, [z17.d, #0x8] C4A4B5C6 ldff1sh {z6.d}, p5/z, [z14.d, #0x8] C4A3AA35 ldff1sh {z21.d}, p2/z, [z17.d, #0x6] C4ADA01B ldff1sh {z27.d}, p0/z, [z0.d, #0x1a] C4B1BD52 ldff1sh {z18.d}, p7/z, [z10.d, #0x22] C4AAB9DF ldff1sh {z31.d}, p6/z, [z14.d, #0x14] C4B4A1E2 ldff1sh {z2.d}, p0/z, [z15.d, #0x28] C4A5B56B ldff1sh {z11.d}, p5/z, [z11.d, #0xa] C4B5A829 ldff1sh {z9.d}, p2/z, [z1.d, #0x2a] C4A6B513 ldff1sh {z19.d}, p5/z, [z8.d, #0xc] C4A4B641 ldff1sh {z1.d}, p5/z, [z18.d, #0x8] C4A4B47A ldff1sh {z26.d}, p5/z, [z3.d, #0x8] C4A3AECB ldff1sh {z11.d}, p3/z, [z22.d, #0x6] C4A3B8B2 ldff1sh {z18.d}, p6/z, [z5.d, #0x6] C4A2A678 ldff1sh {z24.d}, p1/z, [z19.d, #0x4] C4A4BA2C ldff1sh {z12.d}, p6/z, [z17.d, #0x8] // ldff1sh_z_p_ai_s 10000100101xxxxx101xxxxxxxxxxxxx 84B1BF73 ldff1sh {z19.s}, p7/z, [z27.s, #0x22] 84BEB583 ldff1sh {z3.s}, p5/z, [z12.s, #0x3c] 84B1A057 ldff1sh {z23.s}, p0/z, [z2.s, #0x22] 84B8A5B1 ldff1sh {z17.s}, p1/z, [z13.s, #0x30] 84ACB944 ldff1sh {z4.s}, p6/z, [z10.s, #0x18] 84B1B796 ldff1sh {z22.s}, p5/z, [z28.s, #0x22] 84A0A412 ldff1sh {z18.s}, p1/z, [z0.s] 84B7ACF2 ldff1sh {z18.s}, p3/z, [z7.s, #0x2e] 84B3AE81 ldff1sh {z1.s}, p3/z, [z20.s, #0x26] 84BCA60C ldff1sh {z12.s}, p1/z, [z16.s, #0x38] 84AEBF5C ldff1sh {z28.s}, p7/z, [z26.s, #0x1c] 84A5B4E9 ldff1sh {z9.s}, p5/z, [z7.s, #0xa] 84B7A607 ldff1sh {z7.s}, p1/z, [z16.s, #0x2e] 84A3B555 ldff1sh {z21.s}, p5/z, [z10.s, #0x6] 84B4AB5C ldff1sh {z28.s}, p2/z, [z26.s, #0x28] 84A3AD56 ldff1sh {z22.s}, p3/z, [z10.s, #0x6] // ldff1sh_z_p_br_s32 10100101001xxxxx011xxxxxxxxxxxxx A52E7BD8 ldff1sh {z24.s}, p6/z, [x30, x14, lsl #0x1] A52C6968 ldff1sh {z8.s}, p2/z, [x11, x12, lsl #0x1] A53A7389 ldff1sh {z9.s}, p4/z, [x28, x26, lsl #0x1] A5326D16 ldff1sh {z22.s}, p3/z, [x8, x18, lsl #0x1] A52865FF ldff1sh {z31.s}, p1/z, [x15, x8, lsl #0x1] A52B7F43 ldff1sh {z3.s}, p7/z, [x26, x11, lsl #0x1] A53F6F25 ldff1sh {z5.s}, p3/z, [x25, xzr, lsl #0x1] A52B7CBD ldff1sh {z29.s}, p7/z, [x5, x11, lsl #0x1] A52964B3 ldff1sh {z19.s}, p1/z, [x5, x9, lsl #0x1] A52F6A0E ldff1sh {z14.s}, p2/z, [x16, x15, lsl #0x1] A5237254 ldff1sh {z20.s}, p4/z, [x18, x3, lsl #0x1] A5367653 ldff1sh {z19.s}, p5/z, [x18, x22, lsl #0x1] A5366F8E ldff1sh {z14.s}, p3/z, [x28, x22, lsl #0x1] A5237BAD ldff1sh {z13.s}, p6/z, [x29, x3, lsl #0x1] A535779A ldff1sh {z26.s}, p5/z, [x28, x21, lsl #0x1] A5386EA6 ldff1sh {z6.s}, p3/z, [x21, x24, lsl #0x1] // ldff1sh_z_p_br_s64 10100101000xxxxx011xxxxxxxxxxxxx A5007043 ldff1sh {z3.d}, p4/z, [x2, x0, lsl #0x1] A51E67C6 ldff1sh {z6.d}, p1/z, [x30, x30, lsl #0x1] A5177334 ldff1sh {z20.d}, p4/z, [x25, x23, lsl #0x1] A5136201 ldff1sh {z1.d}, p0/z, [x16, x19, lsl #0x1] A50E7796 ldff1sh {z22.d}, p5/z, [x28, x14, lsl #0x1] A51F67BA ldff1sh {z26.d}, p1/z, [x29, xzr, lsl #0x1] A5067299 ldff1sh {z25.d}, p4/z, [x20, x6, lsl #0x1] A50A7E7E ldff1sh {z30.d}, p7/z, [x19, x10, lsl #0x1] A50B77EF ldff1sh {z15.d}, p5/z, [sp, x11, lsl #0x1] A50B76BA ldff1sh {z26.d}, p5/z, [x21, x11, lsl #0x1] A5017B08 ldff1sh {z8.d}, p6/z, [x24, x1, lsl #0x1] A5057DAF ldff1sh {z15.d}, p7/z, [x13, x5, lsl #0x1] A5116D15 ldff1sh {z21.d}, p3/z, [x8, x17, lsl #0x1] A5146D34 ldff1sh {z20.d}, p3/z, [x9, x20, lsl #0x1] A51E637A ldff1sh {z26.d}, p0/z, [x27, x30, lsl #0x1] A5187482 ldff1sh {z2.d}, p5/z, [x4, x24, lsl #0x1] // ldff1sh_z_p_bz_d_64_scaled 11000100111xxxxx101xxxxxxxxxxxxx C4F4A8AA ldff1sh {z10.d}, p2/z, [x5, z20.d, lsl #0x1] C4F8A127 ldff1sh {z7.d}, p0/z, [x9, z24.d, lsl #0x1] C4F6B225 ldff1sh {z5.d}, p4/z, [x17, z22.d, lsl #0x1] C4E6A3C7 ldff1sh {z7.d}, p0/z, [x30, z6.d, lsl #0x1] C4E2A5F3 ldff1sh {z19.d}, p1/z, [x15, z2.d, lsl #0x1] C4E5B27D ldff1sh {z29.d}, p4/z, [x19, z5.d, lsl #0x1] C4E5B4EC ldff1sh {z12.d}, p5/z, [x7, z5.d, lsl #0x1] C4FFA03C ldff1sh {z28.d}, p0/z, [x1, z31.d, lsl #0x1] C4F2B54E ldff1sh {z14.d}, p5/z, [x10, z18.d, lsl #0x1] C4ECB28F ldff1sh {z15.d}, p4/z, [x20, z12.d, lsl #0x1] C4FFB874 ldff1sh {z20.d}, p6/z, [x3, z31.d, lsl #0x1] C4F8B694 ldff1sh {z20.d}, p5/z, [x20, z24.d, lsl #0x1] C4F4A948 ldff1sh {z8.d}, p2/z, [x10, z20.d, lsl #0x1] C4E7B540 ldff1sh {z0.d}, p5/z, [x10, z7.d, lsl #0x1] C4E2A3A8 ldff1sh {z8.d}, p0/z, [x29, z2.d, lsl #0x1] C4E8B114 ldff1sh {z20.d}, p4/z, [x8, z8.d, lsl #0x1] // ldff1sh_z_p_bz_d_64_unscaled 11000100110xxxxx101xxxxxxxxxxxxx C4C6BFA5 ldff1sh {z5.d}, p7/z, [x29, z6.d] C4C8BE56 ldff1sh {z22.d}, p7/z, [x18, z8.d] C4DCA82E ldff1sh {z14.d}, p2/z, [x1, z28.d] C4DAAC7A ldff1sh {z26.d}, p3/z, [x3, z26.d] C4D9A8AF ldff1sh {z15.d}, p2/z, [x5, z25.d] C4D6AEF0 ldff1sh {z16.d}, p3/z, [x23, z22.d] C4D8B860 ldff1sh {z0.d}, p6/z, [x3, z24.d] C4DBA3B4 ldff1sh {z20.d}, p0/z, [x29, z27.d] C4C0BE52 ldff1sh {z18.d}, p7/z, [x18, z0.d] C4C8AAC4 ldff1sh {z4.d}, p2/z, [x22, z8.d] C4D3B450 ldff1sh {z16.d}, p5/z, [x2, z19.d] C4C1B642 ldff1sh {z2.d}, p5/z, [x18, z1.d] C4D6BA79 ldff1sh {z25.d}, p6/z, [x19, z22.d] C4CFAD04 ldff1sh {z4.d}, p3/z, [x8, z15.d] C4D7BE55 ldff1sh {z21.d}, p7/z, [x18, z23.d] C4CEA8F1 ldff1sh {z17.d}, p2/z, [x7, z14.d] // ldff1sh_z_p_bz_d_x32_scaled 110001001x1xxxxx001xxxxxxxxxxxxx C4B93038 ldff1sh {z24.d}, p4/z, [x1, z25.d, uxtw #0x1] C4AD381E ldff1sh {z30.d}, p6/z, [x0, z13.d, uxtw #0x1] C4E13C31 ldff1sh {z17.d}, p7/z, [x1, z1.d, sxtw #0x1] C4EA28D9 ldff1sh {z25.d}, p2/z, [x6, z10.d, sxtw #0x1] C4A125B2 ldff1sh {z18.d}, p1/z, [x13, z1.d, uxtw #0x1] C4B53336 ldff1sh {z22.d}, p4/z, [x25, z21.d, uxtw #0x1] C4F132EE ldff1sh {z14.d}, p4/z, [x23, z17.d, sxtw #0x1] C4A53011 ldff1sh {z17.d}, p4/z, [x0, z5.d, uxtw #0x1] C4FD201C ldff1sh {z28.d}, p0/z, [x0, z29.d, sxtw #0x1] C4A52635 ldff1sh {z21.d}, p1/z, [x17, z5.d, uxtw #0x1] C4AB20C3 ldff1sh {z3.d}, p0/z, [x6, z11.d, uxtw #0x1] C4F4293C ldff1sh {z28.d}, p2/z, [x9, z20.d, sxtw #0x1] C4B63000 ldff1sh {z0.d}, p4/z, [x0, z22.d, uxtw #0x1] C4A223B2 ldff1sh {z18.d}, p0/z, [x29, z2.d, uxtw #0x1] C4F037C1 ldff1sh {z1.d}, p5/z, [x30, z16.d, sxtw #0x1] C4A13438 ldff1sh {z24.d}, p5/z, [x1, z1.d, uxtw #0x1] // ldff1sh_z_p_bz_d_x32_unscaled 110001001x0xxxxx001xxxxxxxxxxxxx C493383C ldff1sh {z28.d}, p6/z, [x1, z19.d, uxtw] C49A25E7 ldff1sh {z7.d}, p1/z, [x15, z26.d, uxtw] C4DF3BE6 ldff1sh {z6.d}, p6/z, [sp, z31.d, sxtw] C4CE2AC0 ldff1sh {z0.d}, p2/z, [x22, z14.d, sxtw] C4D72DEA ldff1sh {z10.d}, p3/z, [x15, z23.d, sxtw] C48C2526 ldff1sh {z6.d}, p1/z, [x9, z12.d, uxtw] C4D635C9 ldff1sh {z9.d}, p5/z, [x14, z22.d, sxtw] C4903212 ldff1sh {z18.d}, p4/z, [x16, z16.d, uxtw] C4C93076 ldff1sh {z22.d}, p4/z, [x3, z9.d, sxtw] C4C73F59 ldff1sh {z25.d}, p7/z, [x26, z7.d, sxtw] C4D12450 ldff1sh {z16.d}, p1/z, [x2, z17.d, sxtw] C4CE30D6 ldff1sh {z22.d}, p4/z, [x6, z14.d, sxtw] C4972D0F ldff1sh {z15.d}, p3/z, [x8, z23.d, uxtw] C4C03CFE ldff1sh {z30.d}, p7/z, [x7, z0.d, sxtw] C4DA3737 ldff1sh {z23.d}, p5/z, [x25, z26.d, sxtw] C4C23D14 ldff1sh {z20.d}, p7/z, [x8, z2.d, sxtw] // ldff1sh_z_p_bz_s_x32_scaled 100001001x1xxxxx001xxxxxxxxxxxxx 84B52E38 ldff1sh {z24.s}, p3/z, [x17, z21.s, uxtw #0x1] 84FC3C41 ldff1sh {z1.s}, p7/z, [x2, z28.s, sxtw #0x1] 84B436EC ldff1sh {z12.s}, p5/z, [x23, z20.s, uxtw #0x1] 84FB3C8F ldff1sh {z15.s}, p7/z, [x4, z27.s, sxtw #0x1] 84AA3550 ldff1sh {z16.s}, p5/z, [x10, z10.s, uxtw #0x1] 84E3320E ldff1sh {z14.s}, p4/z, [x16, z3.s, sxtw #0x1] 84B9359D ldff1sh {z29.s}, p5/z, [x12, z25.s, uxtw #0x1] 84AB2168 ldff1sh {z8.s}, p0/z, [x11, z11.s, uxtw #0x1] 84EA2EA6 ldff1sh {z6.s}, p3/z, [x21, z10.s, sxtw #0x1] 84A5304A ldff1sh {z10.s}, p4/z, [x2, z5.s, uxtw #0x1] 84A13FB7 ldff1sh {z23.s}, p7/z, [x29, z1.s, uxtw #0x1] 84FA2FAC ldff1sh {z12.s}, p3/z, [x29, z26.s, sxtw #0x1] 84EE3CB2 ldff1sh {z18.s}, p7/z, [x5, z14.s, sxtw #0x1] 84E82121 ldff1sh {z1.s}, p0/z, [x9, z8.s, sxtw #0x1] 84E03E66 ldff1sh {z6.s}, p7/z, [x19, z0.s, sxtw #0x1] 84E33D35 ldff1sh {z21.s}, p7/z, [x9, z3.s, sxtw #0x1] // ldff1sh_z_p_bz_s_x32_unscaled 100001001x0xxxxx001xxxxxxxxxxxxx 84D031B1 ldff1sh {z17.s}, p4/z, [x13, z16.s, sxtw] 84DE2841 ldff1sh {z1.s}, p2/z, [x2, z30.s, sxtw] 84982E23 ldff1sh {z3.s}, p3/z, [x17, z24.s, uxtw] 84DE2409 ldff1sh {z9.s}, p1/z, [x0, z30.s, sxtw] 8486377D ldff1sh {z29.s}, p5/z, [x27, z6.s, uxtw] 848828C2 ldff1sh {z2.s}, p2/z, [x6, z8.s, uxtw] 84883E26 ldff1sh {z6.s}, p7/z, [x17, z8.s, uxtw] 84883B58 ldff1sh {z24.s}, p6/z, [x26, z8.s, uxtw] 84922CCD ldff1sh {z13.s}, p3/z, [x6, z18.s, uxtw] 848236EE ldff1sh {z14.s}, p5/z, [x23, z2.s, uxtw] 84C42DEE ldff1sh {z14.s}, p3/z, [x15, z4.s, sxtw] 84C72908 ldff1sh {z8.s}, p2/z, [x8, z7.s, sxtw] 84C32729 ldff1sh {z9.s}, p1/z, [x25, z3.s, sxtw] 84CF28A6 ldff1sh {z6.s}, p2/z, [x5, z15.s, sxtw] 84D62B34 ldff1sh {z20.s}, p2/z, [x25, z22.s, sxtw] 84C83194 ldff1sh {z20.s}, p4/z, [x12, z8.s, sxtw] // ldff1sw_z_p_ai_d 11000101001xxxxx101xxxxxxxxxxxxx C531BB55 ldff1sw {z21.d}, p6/z, [z26.d, #0x44] C526B4C5 ldff1sw {z5.d}, p5/z, [z6.d, #0x18] C52BA013 ldff1sw {z19.d}, p0/z, [z0.d, #0x2c] C52BBB69 ldff1sw {z9.d}, p6/z, [z27.d, #0x2c] C538BE97 ldff1sw {z23.d}, p7/z, [z20.d, #0x60] C536BE7C ldff1sw {z28.d}, p7/z, [z19.d, #0x58] C538A7C6 ldff1sw {z6.d}, p1/z, [z30.d, #0x60] C537B79A ldff1sw {z26.d}, p5/z, [z28.d, #0x5c] C52BB61E ldff1sw {z30.d}, p5/z, [z16.d, #0x2c] C526A9DD ldff1sw {z29.d}, p2/z, [z14.d, #0x18] C523BACF ldff1sw {z15.d}, p6/z, [z22.d, #0xc] C529B8EE ldff1sw {z14.d}, p6/z, [z7.d, #0x24] C536BF3C ldff1sw {z28.d}, p7/z, [z25.d, #0x58] C52AA84B ldff1sw {z11.d}, p2/z, [z2.d, #0x28] C53CA150 ldff1sw {z16.d}, p0/z, [z10.d, #0x70] C526A2D7 ldff1sw {z23.d}, p0/z, [z22.d, #0x18] // ldff1sw_z_p_br_s64 10100100100xxxxx011xxxxxxxxxxxxx A4877846 ldff1sw {z6.d}, p6/z, [x2, x7, lsl #0x2] A4957BCA ldff1sw {z10.d}, p6/z, [x30, x21, lsl #0x2] A4987DED ldff1sw {z13.d}, p7/z, [x15, x24, lsl #0x2] A48763A8 ldff1sw {z8.d}, p0/z, [x29, x7, lsl #0x2] A4987672 ldff1sw {z18.d}, p5/z, [x19, x24, lsl #0x2] A49D60CE ldff1sw {z14.d}, p0/z, [x6, x29, lsl #0x2] A497630C ldff1sw {z12.d}, p0/z, [x24, x23, lsl #0x2] A48D625F ldff1sw {z31.d}, p0/z, [x18, x13, lsl #0x2] A4867BAB ldff1sw {z11.d}, p6/z, [x29, x6, lsl #0x2] A48A60C2 ldff1sw {z2.d}, p0/z, [x6, x10, lsl #0x2] A48369BD ldff1sw {z29.d}, p2/z, [x13, x3, lsl #0x2] A48A6570 ldff1sw {z16.d}, p1/z, [x11, x10, lsl #0x2] A4937C1A ldff1sw {z26.d}, p7/z, [x0, x19, lsl #0x2] A4827CCE ldff1sw {z14.d}, p7/z, [x6, x2, lsl #0x2] A49976DE ldff1sw {z30.d}, p5/z, [x22, x25, lsl #0x2] A49A6D0D ldff1sw {z13.d}, p3/z, [x8, x26, lsl #0x2] // ldff1sw_z_p_bz_d_64_scaled 11000101011xxxxx101xxxxxxxxxxxxx C571AA67 ldff1sw {z7.d}, p2/z, [x19, z17.d, lsl #0x2] C56EA2BB ldff1sw {z27.d}, p0/z, [x21, z14.d, lsl #0x2] C57BA9FF ldff1sw {z31.d}, p2/z, [x15, z27.d, lsl #0x2] C56EA6FA ldff1sw {z26.d}, p1/z, [x23, z14.d, lsl #0x2] C561A64B ldff1sw {z11.d}, p1/z, [x18, z1.d, lsl #0x2] C560B240 ldff1sw {z0.d}, p4/z, [x18, z0.d, lsl #0x2] C576A95C ldff1sw {z28.d}, p2/z, [x10, z22.d, lsl #0x2] C575B8DB ldff1sw {z27.d}, p6/z, [x6, z21.d, lsl #0x2] C573AC9E ldff1sw {z30.d}, p3/z, [x4, z19.d, lsl #0x2] C579B616 ldff1sw {z22.d}, p5/z, [x16, z25.d, lsl #0x2] C57CAD0A ldff1sw {z10.d}, p3/z, [x8, z28.d, lsl #0x2] C57DB03D ldff1sw {z29.d}, p4/z, [x1, z29.d, lsl #0x2] C578BFFC ldff1sw {z28.d}, p7/z, [sp, z24.d, lsl #0x2] C573ABDE ldff1sw {z30.d}, p2/z, [x30, z19.d, lsl #0x2] C578A31C ldff1sw {z28.d}, p0/z, [x24, z24.d, lsl #0x2] C575B3DA ldff1sw {z26.d}, p4/z, [x30, z21.d, lsl #0x2] // ldff1sw_z_p_bz_d_64_unscaled 11000101010xxxxx101xxxxxxxxxxxxx C552A060 ldff1sw {z0.d}, p0/z, [x3, z18.d] C55FBFAC ldff1sw {z12.d}, p7/z, [x29, z31.d] C554A248 ldff1sw {z8.d}, p0/z, [x18, z20.d] C542B003 ldff1sw {z3.d}, p4/z, [x0, z2.d] C54BBAD2 ldff1sw {z18.d}, p6/z, [x22, z11.d] C54FA4E6 ldff1sw {z6.d}, p1/z, [x7, z15.d] C549B294 ldff1sw {z20.d}, p4/z, [x20, z9.d] C550BCD4 ldff1sw {z20.d}, p7/z, [x6, z16.d] C557B1B1 ldff1sw {z17.d}, p4/z, [x13, z23.d] C544AD11 ldff1sw {z17.d}, p3/z, [x8, z4.d] C542AF60 ldff1sw {z0.d}, p3/z, [x27, z2.d] C541A3F1 ldff1sw {z17.d}, p0/z, [sp, z1.d] C545A994 ldff1sw {z20.d}, p2/z, [x12, z5.d] C54CB5E2 ldff1sw {z2.d}, p5/z, [x15, z12.d] C550AF11 ldff1sw {z17.d}, p3/z, [x24, z16.d] C54DA8F7 ldff1sw {z23.d}, p2/z, [x7, z13.d] // ldff1sw_z_p_bz_d_x32_scaled 110001010x1xxxxx001xxxxxxxxxxxxx C52123B0 ldff1sw {z16.d}, p0/z, [x29, z1.d, uxtw #0x2] C5253057 ldff1sw {z23.d}, p4/z, [x2, z5.d, uxtw #0x2] C57927CA ldff1sw {z10.d}, p1/z, [x30, z25.d, sxtw #0x2] C574335D ldff1sw {z29.d}, p4/z, [x26, z20.d, sxtw #0x2] C5333473 ldff1sw {z19.d}, p5/z, [x3, z19.d, uxtw #0x2] C57F350F ldff1sw {z15.d}, p5/z, [x8, z31.d, sxtw #0x2] C539296C ldff1sw {z12.d}, p2/z, [x11, z25.d, uxtw #0x2] C53C3271 ldff1sw {z17.d}, p4/z, [x19, z28.d, uxtw #0x2] C5343905 ldff1sw {z5.d}, p6/z, [x8, z20.d, uxtw #0x2] C53C26C0 ldff1sw {z0.d}, p1/z, [x22, z28.d, uxtw #0x2] C5772644 ldff1sw {z4.d}, p1/z, [x18, z23.d, sxtw #0x2] C538356D ldff1sw {z13.d}, p5/z, [x11, z24.d, uxtw #0x2] C5642DF0 ldff1sw {z16.d}, p3/z, [x15, z4.d, sxtw #0x2] C56C336F ldff1sw {z15.d}, p4/z, [x27, z12.d, sxtw #0x2] C5232BD2 ldff1sw {z18.d}, p2/z, [x30, z3.d, uxtw #0x2] C526380F ldff1sw {z15.d}, p6/z, [x0, z6.d, uxtw #0x2] // ldff1sw_z_p_bz_d_x32_unscaled 110001010x0xxxxx001xxxxxxxxxxxxx C5472ABD ldff1sw {z29.d}, p2/z, [x21, z7.d, sxtw] C54E2EEC ldff1sw {z12.d}, p3/z, [x23, z14.d, sxtw] C5112036 ldff1sw {z22.d}, p0/z, [x1, z17.d, uxtw] C55B3F7B ldff1sw {z27.d}, p7/z, [x27, z27.d, sxtw] C51B38D0 ldff1sw {z16.d}, p6/z, [x6, z27.d, uxtw] C50836F6 ldff1sw {z22.d}, p5/z, [x23, z8.d, uxtw] C55B3EEE ldff1sw {z14.d}, p7/z, [x23, z27.d, sxtw] C55C35EA ldff1sw {z10.d}, p5/z, [x15, z28.d, sxtw] C50C2981 ldff1sw {z1.d}, p2/z, [x12, z12.d, uxtw] C515200B ldff1sw {z11.d}, p0/z, [x0, z21.d, uxtw] C5503DBC ldff1sw {z28.d}, p7/z, [x13, z16.d, sxtw] C50731FF ldff1sw {z31.d}, p4/z, [x15, z7.d, uxtw] C50137E9 ldff1sw {z9.d}, p5/z, [sp, z1.d, uxtw] C50324A4 ldff1sw {z4.d}, p1/z, [x5, z3.d, uxtw] C5442568 ldff1sw {z8.d}, p1/z, [x11, z4.d, sxtw] C5032DD2 ldff1sw {z18.d}, p3/z, [x14, z3.d, uxtw] // ldff1w_z_p_ai_d 11000101001xxxxx111xxxxxxxxxxxxx C52CE8B7 ldff1w {z23.d}, p2/z, [z5.d, #0x30] C521EA87 ldff1w {z7.d}, p2/z, [z20.d, #0x4] C526E28E ldff1w {z14.d}, p0/z, [z20.d, #0x18] C526FDEB ldff1w {z11.d}, p7/z, [z15.d, #0x18] C53FE1E9 ldff1w {z9.d}, p0/z, [z15.d, #0x7c] C52DEFFB ldff1w {z27.d}, p3/z, [z31.d, #0x34] C520FFF1 ldff1w {z17.d}, p7/z, [z31.d] C52FF2FB ldff1w {z27.d}, p4/z, [z23.d, #0x3c] C53CF45C ldff1w {z28.d}, p5/z, [z2.d, #0x70] C537E3D5 ldff1w {z21.d}, p0/z, [z30.d, #0x5c] C53BE0F5 ldff1w {z21.d}, p0/z, [z7.d, #0x6c] C524E6E3 ldff1w {z3.d}, p1/z, [z23.d, #0x10] C53CFF43 ldff1w {z3.d}, p7/z, [z26.d, #0x70] C537EEA8 ldff1w {z8.d}, p3/z, [z21.d, #0x5c] C53DF2E8 ldff1w {z8.d}, p4/z, [z23.d, #0x74] C52CF830 ldff1w {z16.d}, p6/z, [z1.d, #0x30] // ldff1w_z_p_ai_s 10000101001xxxxx111xxxxxxxxxxxxx 852BEF00 ldff1w {z0.s}, p3/z, [z24.s, #0x2c] 852FE308 ldff1w {z8.s}, p0/z, [z24.s, #0x3c] 8532E2C2 ldff1w {z2.s}, p0/z, [z22.s, #0x48] 8537E074 ldff1w {z20.s}, p0/z, [z3.s, #0x5c] 853AFD17 ldff1w {z23.s}, p7/z, [z8.s, #0x68] 8525E026 ldff1w {z6.s}, p0/z, [z1.s, #0x14] 8524E001 ldff1w {z1.s}, p0/z, [z0.s, #0x10] 8527FCE6 ldff1w {z6.s}, p7/z, [z7.s, #0x1c] 853BE2F4 ldff1w {z20.s}, p0/z, [z23.s, #0x6c] 853FF2FC ldff1w {z28.s}, p4/z, [z23.s, #0x7c] 8526E0C9 ldff1w {z9.s}, p0/z, [z6.s, #0x18] 8526FC9C ldff1w {z28.s}, p7/z, [z4.s, #0x18] 8526EA2F ldff1w {z15.s}, p2/z, [z17.s, #0x18] 8537FDE7 ldff1w {z7.s}, p7/z, [z15.s, #0x5c] 8535E9C6 ldff1w {z6.s}, p2/z, [z14.s, #0x54] 8539F192 ldff1w {z18.s}, p4/z, [z12.s, #0x64] // ldff1w_z_p_br_u32 10100101010xxxxx011xxxxxxxxxxxxx A55F756E ldff1w {z14.s}, p5/z, [x11, xzr, lsl #0x2] A55B64E3 ldff1w {z3.s}, p1/z, [x7, x27, lsl #0x2] A54E7614 ldff1w {z20.s}, p5/z, [x16, x14, lsl #0x2] A5546344 ldff1w {z4.s}, p0/z, [x26, x20, lsl #0x2] A549620D ldff1w {z13.s}, p0/z, [x16, x9, lsl #0x2] A5516FF7 ldff1w {z23.s}, p3/z, [sp, x17, lsl #0x2] A54A7929 ldff1w {z9.s}, p6/z, [x9, x10, lsl #0x2] A555746B ldff1w {z11.s}, p5/z, [x3, x21, lsl #0x2] A540798F ldff1w {z15.s}, p6/z, [x12, x0, lsl #0x2] A54D79D4 ldff1w {z20.s}, p6/z, [x14, x13, lsl #0x2] A54A7992 ldff1w {z18.s}, p6/z, [x12, x10, lsl #0x2] A54D6AC6 ldff1w {z6.s}, p2/z, [x22, x13, lsl #0x2] A5516302 ldff1w {z2.s}, p0/z, [x24, x17, lsl #0x2] A556751A ldff1w {z26.s}, p5/z, [x8, x22, lsl #0x2] A55074B2 ldff1w {z18.s}, p5/z, [x5, x16, lsl #0x2] A54F6D02 ldff1w {z2.s}, p3/z, [x8, x15, lsl #0x2] // ldff1w_z_p_br_u64 10100101011xxxxx011xxxxxxxxxxxxx A5797A52 ldff1w {z18.d}, p6/z, [x18, x25, lsl #0x2] A56F633E ldff1w {z30.d}, p0/z, [x25, x15, lsl #0x2] A56A7AE4 ldff1w {z4.d}, p6/z, [x23, x10, lsl #0x2] A56C6521 ldff1w {z1.d}, p1/z, [x9, x12, lsl #0x2] A5777A7C ldff1w {z28.d}, p6/z, [x19, x23, lsl #0x2] A57D743A ldff1w {z26.d}, p5/z, [x1, x29, lsl #0x2] A57F60E9 ldff1w {z9.d}, p0/z, [x7, xzr, lsl #0x2] A56D639E ldff1w {z30.d}, p0/z, [x28, x13, lsl #0x2] A5747281 ldff1w {z1.d}, p4/z, [x20, x20, lsl #0x2] A5656860 ldff1w {z0.d}, p2/z, [x3, x5, lsl #0x2] A56D6802 ldff1w {z2.d}, p2/z, [x0, x13, lsl #0x2] A57568E3 ldff1w {z3.d}, p2/z, [x7, x21, lsl #0x2] A57F7C3E ldff1w {z30.d}, p7/z, [x1, xzr, lsl #0x2] A57676BD ldff1w {z29.d}, p5/z, [x21, x22, lsl #0x2] A57661D6 ldff1w {z22.d}, p0/z, [x14, x22, lsl #0x2] A56F6997 ldff1w {z23.d}, p2/z, [x12, x15, lsl #0x2] // ldff1w_z_p_bz_d_64_scaled 11000101011xxxxx111xxxxxxxxxxxxx C56EE8DE ldff1w {z30.d}, p2/z, [x6, z14.d, lsl #0x2] C565ED04 ldff1w {z4.d}, p3/z, [x8, z5.d, lsl #0x2] C563F0B8 ldff1w {z24.d}, p4/z, [x5, z3.d, lsl #0x2] C562F4EB ldff1w {z11.d}, p5/z, [x7, z2.d, lsl #0x2] C567FFAD ldff1w {z13.d}, p7/z, [x29, z7.d, lsl #0x2] C577F5A0 ldff1w {z0.d}, p5/z, [x13, z23.d, lsl #0x2] C560F18D ldff1w {z13.d}, p4/z, [x12, z0.d, lsl #0x2] C560E0AF ldff1w {z15.d}, p0/z, [x5, z0.d, lsl #0x2] C570E8C5 ldff1w {z5.d}, p2/z, [x6, z16.d, lsl #0x2] C57EF755 ldff1w {z21.d}, p5/z, [x26, z30.d, lsl #0x2] C568F4E4 ldff1w {z4.d}, p5/z, [x7, z8.d, lsl #0x2] C575F1FB ldff1w {z27.d}, p4/z, [x15, z21.d, lsl #0x2] C568F7FF ldff1w {z31.d}, p5/z, [sp, z8.d, lsl #0x2] C572F6E7 ldff1w {z7.d}, p5/z, [x23, z18.d, lsl #0x2] C568EEAF ldff1w {z15.d}, p3/z, [x21, z8.d, lsl #0x2] C577F231 ldff1w {z17.d}, p4/z, [x17, z23.d, lsl #0x2] // ldff1w_z_p_bz_d_64_unscaled 11000101010xxxxx111xxxxxxxxxxxxx C549F9BA ldff1w {z26.d}, p6/z, [x13, z9.d] C54FF1C3 ldff1w {z3.d}, p4/z, [x14, z15.d] C55AE0D6 ldff1w {z22.d}, p0/z, [x6, z26.d] C542F6F2 ldff1w {z18.d}, p5/z, [x23, z2.d] C55AF3FC ldff1w {z28.d}, p4/z, [sp, z26.d] C541E2E6 ldff1w {z6.d}, p0/z, [x23, z1.d] C553EE53 ldff1w {z19.d}, p3/z, [x18, z19.d] C559E59F ldff1w {z31.d}, p1/z, [x12, z25.d] C54AEDC4 ldff1w {z4.d}, p3/z, [x14, z10.d] C555E426 ldff1w {z6.d}, p1/z, [x1, z21.d] C551E21E ldff1w {z30.d}, p0/z, [x16, z17.d] C54AFE3D ldff1w {z29.d}, p7/z, [x17, z10.d] C549FDC0 ldff1w {z0.d}, p7/z, [x14, z9.d] C55AE793 ldff1w {z19.d}, p1/z, [x28, z26.d] C548F8B1 ldff1w {z17.d}, p6/z, [x5, z8.d] C54DE642 ldff1w {z2.d}, p1/z, [x18, z13.d] // ldff1w_z_p_bz_d_x32_scaled 110001010x1xxxxx011xxxxxxxxxxxxx C56C7517 ldff1w {z23.d}, p5/z, [x8, z12.d, sxtw #0x2] C53B7178 ldff1w {z24.d}, p4/z, [x11, z27.d, uxtw #0x2] C5297465 ldff1w {z5.d}, p5/z, [x3, z9.d, uxtw #0x2] C5736C23 ldff1w {z3.d}, p3/z, [x1, z19.d, sxtw #0x2] C56C62F3 ldff1w {z19.d}, p0/z, [x23, z12.d, sxtw #0x2] C5247195 ldff1w {z21.d}, p4/z, [x12, z4.d, uxtw #0x2] C52D71B7 ldff1w {z23.d}, p4/z, [x13, z13.d, uxtw #0x2] C57F6B9F ldff1w {z31.d}, p2/z, [x28, z31.d, sxtw #0x2] C530733D ldff1w {z29.d}, p4/z, [x25, z16.d, uxtw #0x2] C5207ECC ldff1w {z12.d}, p7/z, [x22, z0.d, uxtw #0x2] C57D7B2C ldff1w {z12.d}, p6/z, [x25, z29.d, sxtw #0x2] C5707255 ldff1w {z21.d}, p4/z, [x18, z16.d, sxtw #0x2] C5717DBF ldff1w {z31.d}, p7/z, [x13, z17.d, sxtw #0x2] C56E6336 ldff1w {z22.d}, p0/z, [x25, z14.d, sxtw #0x2] C57C6CA8 ldff1w {z8.d}, p3/z, [x5, z28.d, sxtw #0x2] C5356500 ldff1w {z0.d}, p1/z, [x8, z21.d, uxtw #0x2] // ldff1w_z_p_bz_d_x32_unscaled 110001010x0xxxxx011xxxxxxxxxxxxx C5497444 ldff1w {z4.d}, p5/z, [x2, z9.d, sxtw] C5197F53 ldff1w {z19.d}, p7/z, [x26, z25.d, uxtw] C55D7011 ldff1w {z17.d}, p4/z, [x0, z29.d, sxtw] C55E6DC5 ldff1w {z5.d}, p3/z, [x14, z30.d, sxtw] C5176AF2 ldff1w {z18.d}, p2/z, [x23, z23.d, uxtw] C5506DB4 ldff1w {z20.d}, p3/z, [x13, z16.d, sxtw] C51B61F0 ldff1w {z16.d}, p0/z, [x15, z27.d, uxtw] C55D759E ldff1w {z30.d}, p5/z, [x12, z29.d, sxtw] C5547ED9 ldff1w {z25.d}, p7/z, [x22, z20.d, sxtw] C50368D2 ldff1w {z18.d}, p2/z, [x6, z3.d, uxtw] C55368D3 ldff1w {z19.d}, p2/z, [x6, z19.d, sxtw] C54A78A9 ldff1w {z9.d}, p6/z, [x5, z10.d, sxtw] C51167FD ldff1w {z29.d}, p1/z, [sp, z17.d, uxtw] C55F742D ldff1w {z13.d}, p5/z, [x1, z31.d, sxtw] C50B6052 ldff1w {z18.d}, p0/z, [x2, z11.d, uxtw] C544615A ldff1w {z26.d}, p0/z, [x10, z4.d, sxtw] // ldff1w_z_p_bz_s_x32_scaled 100001010x1xxxxx011xxxxxxxxxxxxx 85646F9D ldff1w {z29.s}, p3/z, [x28, z4.s, sxtw #0x2] 853D63BE ldff1w {z30.s}, p0/z, [x29, z29.s, uxtw #0x2] 857E71E2 ldff1w {z2.s}, p4/z, [x15, z30.s, sxtw #0x2] 85246618 ldff1w {z24.s}, p1/z, [x16, z4.s, uxtw #0x2] 856478A2 ldff1w {z2.s}, p6/z, [x5, z4.s, sxtw #0x2] 8522644F ldff1w {z15.s}, p1/z, [x2, z2.s, uxtw #0x2] 85307453 ldff1w {z19.s}, p5/z, [x2, z16.s, uxtw #0x2] 853D69B1 ldff1w {z17.s}, p2/z, [x13, z29.s, uxtw #0x2] 85206770 ldff1w {z16.s}, p1/z, [x27, z0.s, uxtw #0x2] 856B7534 ldff1w {z20.s}, p5/z, [x9, z11.s, sxtw #0x2] 85317E1A ldff1w {z26.s}, p7/z, [x16, z17.s, uxtw #0x2] 85706CCF ldff1w {z15.s}, p3/z, [x6, z16.s, sxtw #0x2] 856F7153 ldff1w {z19.s}, p4/z, [x10, z15.s, sxtw #0x2] 852D77D5 ldff1w {z21.s}, p5/z, [x30, z13.s, uxtw #0x2] 857E6B8D ldff1w {z13.s}, p2/z, [x28, z30.s, sxtw #0x2] 856170D1 ldff1w {z17.s}, p4/z, [x6, z1.s, sxtw #0x2] // ldff1w_z_p_bz_s_x32_unscaled 100001010x0xxxxx011xxxxxxxxxxxxx 85097ABD ldff1w {z29.s}, p6/z, [x21, z9.s, uxtw] 85016728 ldff1w {z8.s}, p1/z, [x25, z1.s, uxtw] 855E7349 ldff1w {z9.s}, p4/z, [x26, z30.s, sxtw] 85516219 ldff1w {z25.s}, p0/z, [x16, z17.s, sxtw] 85457E8A ldff1w {z10.s}, p7/z, [x20, z5.s, sxtw] 851F659E ldff1w {z30.s}, p1/z, [x12, z31.s, uxtw] 850E600A ldff1w {z10.s}, p0/z, [x0, z14.s, uxtw] 85046D40 ldff1w {z0.s}, p3/z, [x10, z4.s, uxtw] 85166DD3 ldff1w {z19.s}, p3/z, [x14, z22.s, uxtw] 85436E3E ldff1w {z30.s}, p3/z, [x17, z3.s, sxtw] 854A618A ldff1w {z10.s}, p0/z, [x12, z10.s, sxtw] 85547216 ldff1w {z22.s}, p4/z, [x16, z20.s, sxtw] 85596D04 ldff1w {z4.s}, p3/z, [x8, z25.s, sxtw] 855B76A8 ldff1w {z8.s}, p5/z, [x21, z27.s, sxtw] 850267CA ldff1w {z10.s}, p1/z, [x30, z2.s, uxtw] 85026D92 ldff1w {z18.s}, p3/z, [x12, z2.s, uxtw] // ldnf1b_z_p_bi_u16 101001000011xxxx1xxxxxxxxxxxxxxx A43AA5D5 ldnf1b {z21.h}, p1/z, [x14, #-6, mul vl] A430B66D ldnf1b {z13.h}, p5/z, [x19] A435B3FA ldnf1b {z26.h}, p4/z, [sp, #0x5, mul vl] A43FAF4F ldnf1b {z15.h}, p3/z, [x26, #-1, mul vl] A43EBBEC ldnf1b {z12.h}, p6/z, [sp, #-2, mul vl] A43EA093 ldnf1b {z19.h}, p0/z, [x4, #-2, mul vl] A43AB8A2 ldnf1b {z2.h}, p6/z, [x5, #-6, mul vl] A43DA815 ldnf1b {z21.h}, p2/z, [x0, #-3, mul vl] A435A771 ldnf1b {z17.h}, p1/z, [x27, #0x5, mul vl] A43AABCA ldnf1b {z10.h}, p2/z, [x30, #-6, mul vl] A434A293 ldnf1b {z19.h}, p0/z, [x20, #0x4, mul vl] A43BB5F4 ldnf1b {z20.h}, p5/z, [x15, #-5, mul vl] A43BBA47 ldnf1b {z7.h}, p6/z, [x18, #-5, mul vl] A43DA34B ldnf1b {z11.h}, p0/z, [x26, #-3, mul vl] A438BE94 ldnf1b {z20.h}, p7/z, [x20, #-8, mul vl] A436A93B ldnf1b {z27.h}, p2/z, [x9, #0x6, mul vl] // ldnf1b_z_p_bi_u32 101001000101xxxx1xxxxxxxxxxxxxxx A451B7A6 ldnf1b {z6.s}, p5/z, [x29, #0x1, mul vl] A45DA3C2 ldnf1b {z2.s}, p0/z, [x30, #-3, mul vl] A45FAC7A ldnf1b {z26.s}, p3/z, [x3, #-1, mul vl] A457A38B ldnf1b {z11.s}, p0/z, [x28, #0x7, mul vl] A457A20B ldnf1b {z11.s}, p0/z, [x16, #0x7, mul vl] A450B1FD ldnf1b {z29.s}, p4/z, [x15] A454BE01 ldnf1b {z1.s}, p7/z, [x16, #0x4, mul vl] A459AD03 ldnf1b {z3.s}, p3/z, [x8, #-7, mul vl] A45BA729 ldnf1b {z9.s}, p1/z, [x25, #-5, mul vl] A452BCBB ldnf1b {z27.s}, p7/z, [x5, #0x2, mul vl] A452A0D7 ldnf1b {z23.s}, p0/z, [x6, #0x2, mul vl] A452A8C4 ldnf1b {z4.s}, p2/z, [x6, #0x2, mul vl] A45BB3D0 ldnf1b {z16.s}, p4/z, [x30, #-5, mul vl] A45DBC35 ldnf1b {z21.s}, p7/z, [x1, #-3, mul vl] A458A654 ldnf1b {z20.s}, p1/z, [x18, #-8, mul vl] A452AC32 ldnf1b {z18.s}, p3/z, [x1, #0x2, mul vl] // ldnf1b_z_p_bi_u64 101001000111xxxx1xxxxxxxxxxxxxxx A478AB6C ldnf1b {z12.d}, p2/z, [x27, #-8, mul vl] A477B8C5 ldnf1b {z5.d}, p6/z, [x6, #0x7, mul vl] A471BD2F ldnf1b {z15.d}, p7/z, [x9, #0x1, mul vl] A473ADDC ldnf1b {z28.d}, p3/z, [x14, #0x3, mul vl] A476A814 ldnf1b {z20.d}, p2/z, [x0, #0x6, mul vl] A472B376 ldnf1b {z22.d}, p4/z, [x27, #0x2, mul vl] A47DAEDA ldnf1b {z26.d}, p3/z, [x22, #-3, mul vl] A47EAC76 ldnf1b {z22.d}, p3/z, [x3, #-2, mul vl] A476A69F ldnf1b {z31.d}, p1/z, [x20, #0x6, mul vl] A47DAA8A ldnf1b {z10.d}, p2/z, [x20, #-3, mul vl] A47CA0D5 ldnf1b {z21.d}, p0/z, [x6, #-4, mul vl] A479B557 ldnf1b {z23.d}, p5/z, [x10, #-7, mul vl] A478B3D6 ldnf1b {z22.d}, p4/z, [x30, #-8, mul vl] A47FA87A ldnf1b {z26.d}, p2/z, [x3, #-1, mul vl] A47DBD64 ldnf1b {z4.d}, p7/z, [x11, #-3, mul vl] A473B705 ldnf1b {z5.d}, p5/z, [x24, #0x3, mul vl] // ldnf1b_z_p_bi_u8 101001000001xxxx1xxxxxxxxxxxxxxx A41AA5C2 ldnf1b {z2.b}, p1/z, [x14, #-6, mul vl] A412AA8C ldnf1b {z12.b}, p2/z, [x20, #0x2, mul vl] A418B5F7 ldnf1b {z23.b}, p5/z, [x15, #-8, mul vl] A415B44E ldnf1b {z14.b}, p5/z, [x2, #0x5, mul vl] A415AA9E ldnf1b {z30.b}, p2/z, [x20, #0x5, mul vl] A41BBC34 ldnf1b {z20.b}, p7/z, [x1, #-5, mul vl] A41CAC9B ldnf1b {z27.b}, p3/z, [x4, #-4, mul vl] A41CAB0D ldnf1b {z13.b}, p2/z, [x24, #-4, mul vl] A41DB866 ldnf1b {z6.b}, p6/z, [x3, #-3, mul vl] A413BA86 ldnf1b {z6.b}, p6/z, [x20, #0x3, mul vl] A41BBDDB ldnf1b {z27.b}, p7/z, [x14, #-5, mul vl] A41EBDD8 ldnf1b {z24.b}, p7/z, [x14, #-2, mul vl] A414BAE3 ldnf1b {z3.b}, p6/z, [x23, #0x4, mul vl] A41FAEAB ldnf1b {z11.b}, p3/z, [x21, #-1, mul vl] A415B7B1 ldnf1b {z17.b}, p5/z, [x29, #0x5, mul vl] A415BAC0 ldnf1b {z0.b}, p6/z, [x22, #0x5, mul vl] // ldnf1d_z_p_bi_u64 101001011111xxxx1xxxxxxxxxxxxxxx A5F2AAD5 ldnf1d {z21.d}, p2/z, [x22, #0x2, mul vl] A5F9AD80 ldnf1d {z0.d}, p3/z, [x12, #-7, mul vl] A5FABFC6 ldnf1d {z6.d}, p7/z, [x30, #-6, mul vl] A5F6B754 ldnf1d {z20.d}, p5/z, [x26, #0x6, mul vl] A5F4BB0D ldnf1d {z13.d}, p6/z, [x24, #0x4, mul vl] A5F5A373 ldnf1d {z19.d}, p0/z, [x27, #0x5, mul vl] A5F8B4E7 ldnf1d {z7.d}, p5/z, [x7, #-8, mul vl] A5F3A75B ldnf1d {z27.d}, p1/z, [x26, #0x3, mul vl] A5F3A878 ldnf1d {z24.d}, p2/z, [x3, #0x3, mul vl] A5F2B8B2 ldnf1d {z18.d}, p6/z, [x5, #0x2, mul vl] A5FFB0E4 ldnf1d {z4.d}, p4/z, [x7, #-1, mul vl] A5F6B1AD ldnf1d {z13.d}, p4/z, [x13, #0x6, mul vl] A5F5A0AE ldnf1d {z14.d}, p0/z, [x5, #0x5, mul vl] A5FEBC16 ldnf1d {z22.d}, p7/z, [x0, #-2, mul vl] A5F5BE78 ldnf1d {z24.d}, p7/z, [x19, #0x5, mul vl] A5F3AEAA ldnf1d {z10.d}, p3/z, [x21, #0x3, mul vl] // ldnf1h_z_p_bi_u16 101001001011xxxx1xxxxxxxxxxxxxxx A4B8B3C9 ldnf1h {z9.h}, p4/z, [x30, #-8, mul vl] A4BABE50 ldnf1h {z16.h}, p7/z, [x18, #-6, mul vl] A4BFA56F ldnf1h {z15.h}, p1/z, [x11, #-1, mul vl] A4B3BCAF ldnf1h {z15.h}, p7/z, [x5, #0x3, mul vl] A4BEA7F6 ldnf1h {z22.h}, p1/z, [sp, #-2, mul vl] A4BAA5D0 ldnf1h {z16.h}, p1/z, [x14, #-6, mul vl] A4BFB338 ldnf1h {z24.h}, p4/z, [x25, #-1, mul vl] A4B9AAAD ldnf1h {z13.h}, p2/z, [x21, #-7, mul vl] A4B8BE13 ldnf1h {z19.h}, p7/z, [x16, #-8, mul vl] A4B2ADCD ldnf1h {z13.h}, p3/z, [x14, #0x2, mul vl] A4B0BABC ldnf1h {z28.h}, p6/z, [x21] A4B9BBAA ldnf1h {z10.h}, p6/z, [x29, #-7, mul vl] A4B6AA63 ldnf1h {z3.h}, p2/z, [x19, #0x6, mul vl] A4BDB84F ldnf1h {z15.h}, p6/z, [x2, #-3, mul vl] A4BDBFFB ldnf1h {z27.h}, p7/z, [sp, #-3, mul vl] A4B5ADCF ldnf1h {z15.h}, p3/z, [x14, #0x5, mul vl] // ldnf1h_z_p_bi_u32 101001001101xxxx1xxxxxxxxxxxxxxx A4D0A49C ldnf1h {z28.s}, p1/z, [x4] A4D3A436 ldnf1h {z22.s}, p1/z, [x1, #0x3, mul vl] A4D9A1CC ldnf1h {z12.s}, p0/z, [x14, #-7, mul vl] A4D8B8CD ldnf1h {z13.s}, p6/z, [x6, #-8, mul vl] A4D9B970 ldnf1h {z16.s}, p6/z, [x11, #-7, mul vl] A4D2B0AE ldnf1h {z14.s}, p4/z, [x5, #0x2, mul vl] A4D9A861 ldnf1h {z1.s}, p2/z, [x3, #-7, mul vl] A4DEAA98 ldnf1h {z24.s}, p2/z, [x20, #-2, mul vl] A4D2B5FA ldnf1h {z26.s}, p5/z, [x15, #0x2, mul vl] A4DFA2D5 ldnf1h {z21.s}, p0/z, [x22, #-1, mul vl] A4D7B406 ldnf1h {z6.s}, p5/z, [x0, #0x7, mul vl] A4DABEE6 ldnf1h {z6.s}, p7/z, [x23, #-6, mul vl] A4D2B5BA ldnf1h {z26.s}, p5/z, [x13, #0x2, mul vl] A4D4AB3A ldnf1h {z26.s}, p2/z, [x25, #0x4, mul vl] A4D6A10D ldnf1h {z13.s}, p0/z, [x8, #0x6, mul vl] A4D3BF0E ldnf1h {z14.s}, p7/z, [x24, #0x3, mul vl] // ldnf1h_z_p_bi_u64 101001001111xxxx1xxxxxxxxxxxxxxx A4F9AB3B ldnf1h {z27.d}, p2/z, [x25, #-7, mul vl] A4F8A344 ldnf1h {z4.d}, p0/z, [x26, #-8, mul vl] A4FDB941 ldnf1h {z1.d}, p6/z, [x10, #-3, mul vl] A4F3ADD6 ldnf1h {z22.d}, p3/z, [x14, #0x3, mul vl] A4FCB7A0 ldnf1h {z0.d}, p5/z, [x29, #-4, mul vl] A4F4B857 ldnf1h {z23.d}, p6/z, [x2, #0x4, mul vl] A4FEA945 ldnf1h {z5.d}, p2/z, [x10, #-2, mul vl] A4FEB19D ldnf1h {z29.d}, p4/z, [x12, #-2, mul vl] A4FFA5B6 ldnf1h {z22.d}, p1/z, [x13, #-1, mul vl] A4FFB810 ldnf1h {z16.d}, p6/z, [x0, #-1, mul vl] A4F8A920 ldnf1h {z0.d}, p2/z, [x9, #-8, mul vl] A4F4A52F ldnf1h {z15.d}, p1/z, [x9, #0x4, mul vl] A4F0BCC9 ldnf1h {z9.d}, p7/z, [x6] A4FBB32A ldnf1h {z10.d}, p4/z, [x25, #-5, mul vl] A4FDB907 ldnf1h {z7.d}, p6/z, [x8, #-3, mul vl] A4F2B77F ldnf1h {z31.d}, p5/z, [x27, #0x2, mul vl] // ldnf1sb_z_p_bi_s16 101001011101xxxx1xxxxxxxxxxxxxxx A5D2AF09 ldnf1sb {z9.h}, p3/z, [x24, #0x2, mul vl] A5DBBBE7 ldnf1sb {z7.h}, p6/z, [sp, #-5, mul vl] A5D1BA23 ldnf1sb {z3.h}, p6/z, [x17, #0x1, mul vl] A5DFA218 ldnf1sb {z24.h}, p0/z, [x16, #-1, mul vl] A5D7B2AC ldnf1sb {z12.h}, p4/z, [x21, #0x7, mul vl] A5D8AFC7 ldnf1sb {z7.h}, p3/z, [x30, #-8, mul vl] A5DEB18E ldnf1sb {z14.h}, p4/z, [x12, #-2, mul vl] A5D8A16C ldnf1sb {z12.h}, p0/z, [x11, #-8, mul vl] A5DCBC0C ldnf1sb {z12.h}, p7/z, [x0, #-4, mul vl] A5D9B739 ldnf1sb {z25.h}, p5/z, [x25, #-7, mul vl] A5DFB4AA ldnf1sb {z10.h}, p5/z, [x5, #-1, mul vl] A5DEA948 ldnf1sb {z8.h}, p2/z, [x10, #-2, mul vl] A5D9A618 ldnf1sb {z24.h}, p1/z, [x16, #-7, mul vl] A5D3B4D1 ldnf1sb {z17.h}, p5/z, [x6, #0x3, mul vl] A5DEB0C8 ldnf1sb {z8.h}, p4/z, [x6, #-2, mul vl] A5DFB92C ldnf1sb {z12.h}, p6/z, [x9, #-1, mul vl] // ldnf1sb_z_p_bi_s32 101001011011xxxx1xxxxxxxxxxxxxxx A5B9B38E ldnf1sb {z14.s}, p4/z, [x28, #-7, mul vl] A5B4AFEA ldnf1sb {z10.s}, p3/z, [sp, #0x4, mul vl] A5BCA90B ldnf1sb {z11.s}, p2/z, [x8, #-4, mul vl] A5B8BE81 ldnf1sb {z1.s}, p7/z, [x20, #-8, mul vl] A5B7A482 ldnf1sb {z2.s}, p1/z, [x4, #0x7, mul vl] A5B7A618 ldnf1sb {z24.s}, p1/z, [x16, #0x7, mul vl] A5B4B9BE ldnf1sb {z30.s}, p6/z, [x13, #0x4, mul vl] A5BBBEE4 ldnf1sb {z4.s}, p7/z, [x23, #-5, mul vl] A5BDADB0 ldnf1sb {z16.s}, p3/z, [x13, #-3, mul vl] A5BAB44B ldnf1sb {z11.s}, p5/z, [x2, #-6, mul vl] A5B2AF2E ldnf1sb {z14.s}, p3/z, [x25, #0x2, mul vl] A5B8B839 ldnf1sb {z25.s}, p6/z, [x1, #-8, mul vl] A5BDA327 ldnf1sb {z7.s}, p0/z, [x25, #-3, mul vl] A5BDB999 ldnf1sb {z25.s}, p6/z, [x12, #-3, mul vl] A5B6A2AD ldnf1sb {z13.s}, p0/z, [x21, #0x6, mul vl] A5BBAE95 ldnf1sb {z21.s}, p3/z, [x20, #-5, mul vl] // ldnf1sb_z_p_bi_s64 101001011001xxxx1xxxxxxxxxxxxxxx A591B234 ldnf1sb {z20.d}, p4/z, [x17, #0x1, mul vl] A59DA3CB ldnf1sb {z11.d}, p0/z, [x30, #-3, mul vl] A595BF3E ldnf1sb {z30.d}, p7/z, [x25, #0x5, mul vl] A597BD6C ldnf1sb {z12.d}, p7/z, [x11, #0x7, mul vl] A597B13F ldnf1sb {z31.d}, p4/z, [x9, #0x7, mul vl] A593A619 ldnf1sb {z25.d}, p1/z, [x16, #0x3, mul vl] A591BAED ldnf1sb {z13.d}, p6/z, [x23, #0x1, mul vl] A591BAD2 ldnf1sb {z18.d}, p6/z, [x22, #0x1, mul vl] A59EB203 ldnf1sb {z3.d}, p4/z, [x16, #-2, mul vl] A591AD0D ldnf1sb {z13.d}, p3/z, [x8, #0x1, mul vl] A590BDEE ldnf1sb {z14.d}, p7/z, [x15] A598AF79 ldnf1sb {z25.d}, p3/z, [x27, #-8, mul vl] A595B1C3 ldnf1sb {z3.d}, p4/z, [x14, #0x5, mul vl] A598AA6B ldnf1sb {z11.d}, p2/z, [x19, #-8, mul vl] A59BA157 ldnf1sb {z23.d}, p0/z, [x10, #-5, mul vl] A594B3B0 ldnf1sb {z16.d}, p4/z, [x29, #0x4, mul vl] // ldnf1sh_z_p_bi_s32 101001010011xxxx1xxxxxxxxxxxxxxx A53DB5F0 ldnf1sh {z16.s}, p5/z, [x15, #-3, mul vl] A53AA90D ldnf1sh {z13.s}, p2/z, [x8, #-6, mul vl] A53EA2D5 ldnf1sh {z21.s}, p0/z, [x22, #-2, mul vl] A53BBF17 ldnf1sh {z23.s}, p7/z, [x24, #-5, mul vl] A53EBA32 ldnf1sh {z18.s}, p6/z, [x17, #-2, mul vl] A539B19E ldnf1sh {z30.s}, p4/z, [x12, #-7, mul vl] A539A2B7 ldnf1sh {z23.s}, p0/z, [x21, #-7, mul vl] A53FA7E6 ldnf1sh {z6.s}, p1/z, [sp, #-1, mul vl] A534A670 ldnf1sh {z16.s}, p1/z, [x19, #0x4, mul vl] A53FA0D6 ldnf1sh {z22.s}, p0/z, [x6, #-1, mul vl] A53AB4F9 ldnf1sh {z25.s}, p5/z, [x7, #-6, mul vl] A534BD2F ldnf1sh {z15.s}, p7/z, [x9, #0x4, mul vl] A53CA7C9 ldnf1sh {z9.s}, p1/z, [x30, #-4, mul vl] A53AA0F2 ldnf1sh {z18.s}, p0/z, [x7, #-6, mul vl] A53FA96C ldnf1sh {z12.s}, p2/z, [x11, #-1, mul vl] A53AAAF6 ldnf1sh {z22.s}, p2/z, [x23, #-6, mul vl] // ldnf1sh_z_p_bi_s64 101001010001xxxx1xxxxxxxxxxxxxxx A514A51A ldnf1sh {z26.d}, p1/z, [x8, #0x4, mul vl] A516B795 ldnf1sh {z21.d}, p5/z, [x28, #0x6, mul vl] A513ABC2 ldnf1sh {z2.d}, p2/z, [x30, #0x3, mul vl] A519A8A9 ldnf1sh {z9.d}, p2/z, [x5, #-7, mul vl] A510A089 ldnf1sh {z9.d}, p0/z, [x4] A513BAC0 ldnf1sh {z0.d}, p6/z, [x22, #0x3, mul vl] A51DB555 ldnf1sh {z21.d}, p5/z, [x10, #-3, mul vl] A515B89A ldnf1sh {z26.d}, p6/z, [x4, #0x5, mul vl] A514A762 ldnf1sh {z2.d}, p1/z, [x27, #0x4, mul vl] A51FAC3D ldnf1sh {z29.d}, p3/z, [x1, #-1, mul vl] A519AF2E ldnf1sh {z14.d}, p3/z, [x25, #-7, mul vl] A51DB2A7 ldnf1sh {z7.d}, p4/z, [x21, #-3, mul vl] A51AB50A ldnf1sh {z10.d}, p5/z, [x8, #-6, mul vl] A51EB286 ldnf1sh {z6.d}, p4/z, [x20, #-2, mul vl] A515A83B ldnf1sh {z27.d}, p2/z, [x1, #0x5, mul vl] A510B661 ldnf1sh {z1.d}, p5/z, [x19] // ldnf1sw_z_p_bi_s64 101001001001xxxx1xxxxxxxxxxxxxxx A49AB238 ldnf1sw {z24.d}, p4/z, [x17, #-6, mul vl] A498A840 ldnf1sw {z0.d}, p2/z, [x2, #-8, mul vl] A493A167 ldnf1sw {z7.d}, p0/z, [x11, #0x3, mul vl] A495A867 ldnf1sw {z7.d}, p2/z, [x3, #0x5, mul vl] A49AB45C ldnf1sw {z28.d}, p5/z, [x2, #-6, mul vl] A494AFCF ldnf1sw {z15.d}, p3/z, [x30, #0x4, mul vl] A495BAD7 ldnf1sw {z23.d}, p6/z, [x22, #0x5, mul vl] A49DB77E ldnf1sw {z30.d}, p5/z, [x27, #-3, mul vl] A494B2EE ldnf1sw {z14.d}, p4/z, [x23, #0x4, mul vl] A490BDC3 ldnf1sw {z3.d}, p7/z, [x14] A494B1E8 ldnf1sw {z8.d}, p4/z, [x15, #0x4, mul vl] A497AC39 ldnf1sw {z25.d}, p3/z, [x1, #0x7, mul vl] A497BD81 ldnf1sw {z1.d}, p7/z, [x12, #0x7, mul vl] A49FB3FA ldnf1sw {z26.d}, p4/z, [sp, #-1, mul vl] A494B4EE ldnf1sw {z14.d}, p5/z, [x7, #0x4, mul vl] A496AE01 ldnf1sw {z1.d}, p3/z, [x16, #0x6, mul vl] // ldnf1w_z_p_bi_u32 101001010101xxxx1xxxxxxxxxxxxxxx A558B8C0 ldnf1w {z0.s}, p6/z, [x6, #-8, mul vl] A558A10E ldnf1w {z14.s}, p0/z, [x8, #-8, mul vl] A550A0D6 ldnf1w {z22.s}, p0/z, [x6] A556A96D ldnf1w {z13.s}, p2/z, [x11, #0x6, mul vl] A55DB3BB ldnf1w {z27.s}, p4/z, [x29, #-3, mul vl] A553A130 ldnf1w {z16.s}, p0/z, [x9, #0x3, mul vl] A556A839 ldnf1w {z25.s}, p2/z, [x1, #0x6, mul vl] A550AD01 ldnf1w {z1.s}, p3/z, [x8] A553B5AA ldnf1w {z10.s}, p5/z, [x13, #0x3, mul vl] A551A164 ldnf1w {z4.s}, p0/z, [x11, #0x1, mul vl] A558AE6D ldnf1w {z13.s}, p3/z, [x19, #-8, mul vl] A553AE2D ldnf1w {z13.s}, p3/z, [x17, #0x3, mul vl] A55FB15C ldnf1w {z28.s}, p4/z, [x10, #-1, mul vl] A555AD7C ldnf1w {z28.s}, p3/z, [x11, #0x5, mul vl] A554BCED ldnf1w {z13.s}, p7/z, [x7, #0x4, mul vl] A554BD70 ldnf1w {z16.s}, p7/z, [x11, #0x4, mul vl] // ldnf1w_z_p_bi_u64 101001010111xxxx1xxxxxxxxxxxxxxx A570AFBB ldnf1w {z27.d}, p3/z, [x29] A571B1CD ldnf1w {z13.d}, p4/z, [x14, #0x1, mul vl] A575B5DA ldnf1w {z26.d}, p5/z, [x14, #0x5, mul vl] A579B7AD ldnf1w {z13.d}, p5/z, [x29, #-7, mul vl] A573B44C ldnf1w {z12.d}, p5/z, [x2, #0x3, mul vl] A575AB79 ldnf1w {z25.d}, p2/z, [x27, #0x5, mul vl] A57FB111 ldnf1w {z17.d}, p4/z, [x8, #-1, mul vl] A572AC38 ldnf1w {z24.d}, p3/z, [x1, #0x2, mul vl] A570AE0A ldnf1w {z10.d}, p3/z, [x16] A570B1CC ldnf1w {z12.d}, p4/z, [x14] A57AA8A5 ldnf1w {z5.d}, p2/z, [x5, #-6, mul vl] A570BF80 ldnf1w {z0.d}, p7/z, [x28] A574BB74 ldnf1w {z20.d}, p6/z, [x27, #0x4, mul vl] A579BC96 ldnf1w {z22.d}, p7/z, [x4, #-7, mul vl] A570A5C1 ldnf1w {z1.d}, p1/z, [x14] A576BA20 ldnf1w {z0.d}, p6/z, [x17, #0x6, mul vl] // ldnt1b_z_p_bi_contiguous 101001000000xxxx111xxxxxxxxxxxxx A40CEDB8 ldnt1b {z24.b}, p3/z, [x13, #-4, mul vl] A40BF718 ldnt1b {z24.b}, p5/z, [x24, #-5, mul vl] A408FADE ldnt1b {z30.b}, p6/z, [x22, #-8, mul vl] A40CF7C6 ldnt1b {z6.b}, p5/z, [x30, #-4, mul vl] A40BF9C0 ldnt1b {z0.b}, p6/z, [x14, #-5, mul vl] A40AEAC1 ldnt1b {z1.b}, p2/z, [x22, #-6, mul vl] A401E0C3 ldnt1b {z3.b}, p0/z, [x6, #0x1, mul vl] A40CFAAA ldnt1b {z10.b}, p6/z, [x21, #-4, mul vl] A403F33D ldnt1b {z29.b}, p4/z, [x25, #0x3, mul vl] A401EA67 ldnt1b {z7.b}, p2/z, [x19, #0x1, mul vl] A408E8D7 ldnt1b {z23.b}, p2/z, [x6, #-8, mul vl] A404F0DB ldnt1b {z27.b}, p4/z, [x6, #0x4, mul vl] A40CE62D ldnt1b {z13.b}, p1/z, [x17, #-4, mul vl] A408FAEE ldnt1b {z14.b}, p6/z, [x23, #-8, mul vl] A402E0DA ldnt1b {z26.b}, p0/z, [x6, #0x2, mul vl] A405FF42 ldnt1b {z2.b}, p7/z, [x26, #0x5, mul vl] // ldnt1b_z_p_br_contiguous 10100100000xxxxx110xxxxxxxxxxxxx A41AD4A3 ldnt1b {z3.b}, p5/z, [x5, x26] A403C508 ldnt1b {z8.b}, p1/z, [x8, x3] A414C2D8 ldnt1b {z24.b}, p0/z, [x22, x20] A40CD07C ldnt1b {z28.b}, p4/z, [x3, x12] A415DD56 ldnt1b {z22.b}, p7/z, [x10, x21] A417D6BA ldnt1b {z26.b}, p5/z, [x21, x23] A419DDB0 ldnt1b {z16.b}, p7/z, [x13, x25] A417C795 ldnt1b {z21.b}, p1/z, [x28, x23] A40BC97B ldnt1b {z27.b}, p2/z, [x11, x11] A40FDAA9 ldnt1b {z9.b}, p6/z, [x21, x15] A40BC296 ldnt1b {z22.b}, p0/z, [x20, x11] A40CC429 ldnt1b {z9.b}, p1/z, [x1, x12] A410D3D9 ldnt1b {z25.b}, p4/z, [x30, x16] A413CD14 ldnt1b {z20.b}, p3/z, [x8, x19] A406C791 ldnt1b {z17.b}, p1/z, [x28, x6] A418CBF9 ldnt1b {z25.b}, p2/z, [sp, x24] // ldnt1d_z_p_bi_contiguous 101001011000xxxx111xxxxxxxxxxxxx A580EED9 ldnt1d {z25.d}, p3/z, [x22] A58AF3BF ldnt1d {z31.d}, p4/z, [x29, #-6, mul vl] A588E388 ldnt1d {z8.d}, p0/z, [x28, #-8, mul vl] A58FE03F ldnt1d {z31.d}, p0/z, [x1, #-1, mul vl] A584EBE3 ldnt1d {z3.d}, p2/z, [sp, #0x4, mul vl] A581FB21 ldnt1d {z1.d}, p6/z, [x25, #0x1, mul vl] A588F414 ldnt1d {z20.d}, p5/z, [x0, #-8, mul vl] A58EF053 ldnt1d {z19.d}, p4/z, [x2, #-2, mul vl] A580F20C ldnt1d {z12.d}, p4/z, [x16] A587E7B9 ldnt1d {z25.d}, p1/z, [x29, #0x7, mul vl] A58EF0B2 ldnt1d {z18.d}, p4/z, [x5, #-2, mul vl] A589FBF3 ldnt1d {z19.d}, p6/z, [sp, #-7, mul vl] A58BE446 ldnt1d {z6.d}, p1/z, [x2, #-5, mul vl] A58FFE71 ldnt1d {z17.d}, p7/z, [x19, #-1, mul vl] A582E882 ldnt1d {z2.d}, p2/z, [x4, #0x2, mul vl] A587EAEF ldnt1d {z15.d}, p2/z, [x23, #0x7, mul vl] // ldnt1d_z_p_br_contiguous 10100101100xxxxx110xxxxxxxxxxxxx A59DCBFC ldnt1d {z28.d}, p2/z, [sp, x29, lsl #0x3] A598DA0E ldnt1d {z14.d}, p6/z, [x16, x24, lsl #0x3] A590D87D ldnt1d {z29.d}, p6/z, [x3, x16, lsl #0x3] A586C72C ldnt1d {z12.d}, p1/z, [x25, x6, lsl #0x3] A58AC37C ldnt1d {z28.d}, p0/z, [x27, x10, lsl #0x3] A58BC4A4 ldnt1d {z4.d}, p1/z, [x5, x11, lsl #0x3] A58FDE2F ldnt1d {z15.d}, p7/z, [x17, x15, lsl #0x3] A593CE52 ldnt1d {z18.d}, p3/z, [x18, x19, lsl #0x3] A58BCEE0 ldnt1d {z0.d}, p3/z, [x23, x11, lsl #0x3] A599D08D ldnt1d {z13.d}, p4/z, [x4, x25, lsl #0x3] A592D282 ldnt1d {z2.d}, p4/z, [x20, x18, lsl #0x3] A59ADB88 ldnt1d {z8.d}, p6/z, [x28, x26, lsl #0x3] A59AC8C4 ldnt1d {z4.d}, p2/z, [x6, x26, lsl #0x3] A58AC3FC ldnt1d {z28.d}, p0/z, [sp, x10, lsl #0x3] A58BCC7F ldnt1d {z31.d}, p3/z, [x3, x11, lsl #0x3] A581D58F ldnt1d {z15.d}, p5/z, [x12, x1, lsl #0x3] // ldnt1h_z_p_bi_contiguous 101001001000xxxx111xxxxxxxxxxxxx A485EDB5 ldnt1h {z21.h}, p3/z, [x13, #0x5, mul vl] A485F4DB ldnt1h {z27.h}, p5/z, [x6, #0x5, mul vl] A48CEB54 ldnt1h {z20.h}, p2/z, [x26, #-4, mul vl] A480FCE5 ldnt1h {z5.h}, p7/z, [x7] A48AF5FA ldnt1h {z26.h}, p5/z, [x15, #-6, mul vl] A48FE67F ldnt1h {z31.h}, p1/z, [x19, #-1, mul vl] A481F6C2 ldnt1h {z2.h}, p5/z, [x22, #0x1, mul vl] A483F3EA ldnt1h {z10.h}, p4/z, [sp, #0x3, mul vl] A48CF9F3 ldnt1h {z19.h}, p6/z, [x15, #-4, mul vl] A488E785 ldnt1h {z5.h}, p1/z, [x28, #-8, mul vl] A48EE672 ldnt1h {z18.h}, p1/z, [x19, #-2, mul vl] A48AFD07 ldnt1h {z7.h}, p7/z, [x8, #-6, mul vl] A480F0B7 ldnt1h {z23.h}, p4/z, [x5] A485E8A6 ldnt1h {z6.h}, p2/z, [x5, #0x5, mul vl] A484E301 ldnt1h {z1.h}, p0/z, [x24, #0x4, mul vl] A484EAEC ldnt1h {z12.h}, p2/z, [x23, #0x4, mul vl] // ldnt1h_z_p_br_contiguous 10100100100xxxxx110xxxxxxxxxxxxx A480D6D9 ldnt1h {z25.h}, p5/z, [x22, x0, lsl #0x1] A491C253 ldnt1h {z19.h}, p0/z, [x18, x17, lsl #0x1] A489C6F7 ldnt1h {z23.h}, p1/z, [x23, x9, lsl #0x1] A489CC5A ldnt1h {z26.h}, p3/z, [x2, x9, lsl #0x1] A49EC997 ldnt1h {z23.h}, p2/z, [x12, x30, lsl #0x1] A490DEE6 ldnt1h {z6.h}, p7/z, [x23, x16, lsl #0x1] A484C56E ldnt1h {z14.h}, p1/z, [x11, x4, lsl #0x1] A496CBEB ldnt1h {z11.h}, p2/z, [sp, x22, lsl #0x1] A48BDAB8 ldnt1h {z24.h}, p6/z, [x21, x11, lsl #0x1] A489C934 ldnt1h {z20.h}, p2/z, [x9, x9, lsl #0x1] A481D48E ldnt1h {z14.h}, p5/z, [x4, x1, lsl #0x1] A48EDBCC ldnt1h {z12.h}, p6/z, [x30, x14, lsl #0x1] A494DDDD ldnt1h {z29.h}, p7/z, [x14, x20, lsl #0x1] A480C28F ldnt1h {z15.h}, p0/z, [x20, x0, lsl #0x1] A49AD2D9 ldnt1h {z25.h}, p4/z, [x22, x26, lsl #0x1] A48FDD02 ldnt1h {z2.h}, p7/z, [x8, x15, lsl #0x1] // ldnt1w_z_p_bi_contiguous 101001010000xxxx111xxxxxxxxxxxxx A502FED7 ldnt1w {z23.s}, p7/z, [x22, #0x2, mul vl] A50BF1CF ldnt1w {z15.s}, p4/z, [x14, #-5, mul vl] A50FEA09 ldnt1w {z9.s}, p2/z, [x16, #-1, mul vl] A50AE550 ldnt1w {z16.s}, p1/z, [x10, #-6, mul vl] A506E0FC ldnt1w {z28.s}, p0/z, [x7, #0x6, mul vl] A50DF556 ldnt1w {z22.s}, p5/z, [x10, #-3, mul vl] A507E4CD ldnt1w {z13.s}, p1/z, [x6, #0x7, mul vl] A503E5E3 ldnt1w {z3.s}, p1/z, [x15, #0x3, mul vl] A500F5B9 ldnt1w {z25.s}, p5/z, [x13] A504FBC8 ldnt1w {z8.s}, p6/z, [x30, #0x4, mul vl] A503E13A ldnt1w {z26.s}, p0/z, [x9, #0x3, mul vl] A500EF13 ldnt1w {z19.s}, p3/z, [x24] A509F063 ldnt1w {z3.s}, p4/z, [x3, #-7, mul vl] A509FC76 ldnt1w {z22.s}, p7/z, [x3, #-7, mul vl] A50EEBD0 ldnt1w {z16.s}, p2/z, [x30, #-2, mul vl] A503FE31 ldnt1w {z17.s}, p7/z, [x17, #0x3, mul vl] // ldnt1w_z_p_br_contiguous 10100101000xxxxx110xxxxxxxxxxxxx A515DFD8 ldnt1w {z24.s}, p7/z, [x30, x21, lsl #0x2] A506D152 ldnt1w {z18.s}, p4/z, [x10, x6, lsl #0x2] A500DBE9 ldnt1w {z9.s}, p6/z, [sp, x0, lsl #0x2] A502DC96 ldnt1w {z22.s}, p7/z, [x4, x2, lsl #0x2] A514D958 ldnt1w {z24.s}, p6/z, [x10, x20, lsl #0x2] A50ED579 ldnt1w {z25.s}, p5/z, [x11, x14, lsl #0x2] A50AD7AA ldnt1w {z10.s}, p5/z, [x29, x10, lsl #0x2] A50ED5A5 ldnt1w {z5.s}, p5/z, [x13, x14, lsl #0x2] A511DB67 ldnt1w {z7.s}, p6/z, [x27, x17, lsl #0x2] A50CD37B ldnt1w {z27.s}, p4/z, [x27, x12, lsl #0x2] A515DE1C ldnt1w {z28.s}, p7/z, [x16, x21, lsl #0x2] A51AD34C ldnt1w {z12.s}, p4/z, [x26, x26, lsl #0x2] A51DC7A2 ldnt1w {z2.s}, p1/z, [x29, x29, lsl #0x2] A50EC47A ldnt1w {z26.s}, p1/z, [x3, x14, lsl #0x2] A504C81C ldnt1w {z28.s}, p2/z, [x0, x4, lsl #0x2] A50DDB38 ldnt1w {z24.s}, p6/z, [x25, x13, lsl #0x2] // ldr_p_bi_ 1000010110xxxxxx000xxxxxxxx0xxxx 859F09CA ldr p10, [x14, #0xfa, mul vl] 85A2036A ldr p10, [x27, #-240, mul vl] 85BC1FA3 ldr p3, [x29, #-25, mul vl] 85BE02EC ldr p12, [x23, #-16, mul vl] 85B40FCC ldr p12, [x30, #-93, mul vl] 85BB14CF ldr p15, [x6, #-35, mul vl] 85930EAC ldr p12, [x21, #0x9b, mul vl] 85870E40 ldr p0, [x18, #0x3b, mul vl] 85990225 ldr p5, [x17, #0xc8, mul vl] 85A00986 ldr p6, [x12, #-254, mul vl] 85BD1AA5 ldr p5, [x21, #-18, mul vl] 85AD0F07 ldr p7, [x24, #-149, mul vl] 85B20A20 ldr p0, [x17, #-110, mul vl] 858A1D66 ldr p6, [x11, #0x57, mul vl] 85910844 ldr p4, [x2, #0x8a, mul vl] 85B30DC5 ldr p5, [x14, #-101, mul vl] // ldr_z_bi_ 1000010110xxxxxx010xxxxxxxxxxxxx 85985F6F ldr z15, [x27, #0xc7, mul vl] 858359B1 ldr z17, [x13, #0x1e, mul vl] 859B5CC3 ldr z3, [x6, #0xdf, mul vl] 85944A6B ldr z11, [x19, #0xa2, mul vl] 85B1439A ldr z26, [x28, #-120, mul vl] 859F4FFB ldr z27, [sp, #0xfb, mul vl] 85B95817 ldr z23, [x0, #-50, mul vl] 8581422C ldr z12, [x17, #0x8, mul vl] 85A25497 ldr z23, [x4, #-235, mul vl] 85A054B9 ldr z25, [x5, #-251, mul vl] 85B15AB1 ldr z17, [x21, #-114, mul vl] 85A7463A ldr z26, [x17, #-199, mul vl] 85874FF9 ldr z25, [sp, #0x3b, mul vl] 859F5260 ldr z0, [x19, #0xfc, mul vl] 85944FB1 ldr z17, [x29, #0xa3, mul vl] 85B5539D ldr z29, [x28, #-84, mul vl] // lsl_z_p_zi_ 00000100xx000011100xxxxxxxxxxxxx 04C389E3 lsl z3.d, p2/m, z3.d, #0x2f 044395BF lsl z31.s, p5/m, z31.s, #0xd 04C3923C lsl z28.d, p4/m, z28.d, #0x31 044398CE lsl z14.s, p6/m, z14.s, #0x6 040391DA lsl z26.b, p4/m, z26.b, #0x6 0483896F lsl z15.d, p2/m, z15.d, #0xb 04838B12 lsl z18.d, p2/m, z18.d, #0x18 044399A1 lsl z1.s, p6/m, z1.s, #0xd 04839865 lsl z5.d, p6/m, z5.d, #0x3 04439DFB lsl z27.s, p7/m, z27.s, #0xf 044391FF lsl z31.s, p4/m, z31.s, #0xf 04C392D4 lsl z20.d, p4/m, z20.d, #0x36 04039EE4 lsl z4.h, p7/m, z4.h, #0x7 04439B9B lsl z27.s, p6/m, z27.s, #0x1c 04038D4D lsl z13.b, p3/m, z13.b, #0x2 04C39848 lsl z8.d, p6/m, z8.d, #0x22 // lsl_z_p_zw_ 00000100xx011011100xxxxxxxxxxxxx 041B85C3 lsl z3.b, p1/m, z3.b, z14.d 049B960E lsl z14.s, p5/m, z14.s, z16.d 045B810C lsl z12.h, p0/m, z12.h, z8.d 041B81A6 lsl z6.b, p0/m, z6.b, z13.d 045B84A7 lsl z7.h, p1/m, z7.h, z5.d 041B8B5F lsl z31.b, p2/m, z31.b, z26.d 045B827F lsl z31.h, p0/m, z31.h, z19.d 045B8994 lsl z20.h, p2/m, z20.h, z12.d 041B83BC lsl z28.b, p0/m, z28.b, z29.d 049B9FBB lsl z27.s, p7/m, z27.s, z29.d 049B8485 lsl z5.s, p1/m, z5.s, z4.d 041B852D lsl z13.b, p1/m, z13.b, z9.d 045B8BC7 lsl z7.h, p2/m, z7.h, z30.d 041B9FEA lsl z10.b, p7/m, z10.b, z31.d 045B943A lsl z26.h, p5/m, z26.h, z1.d 049B860B lsl z11.s, p1/m, z11.s, z16.d // lsl_z_p_zz_ 00000100xx01001110xxxxxxxxxxxxxx 04D39BEA lsl z10.d, p6/m, z10.d, z31.d 045395AF lsl z15.h, p5/m, z15.h, z13.h 045391C7 lsl z7.h, p4/m, z7.h, z14.h 04538223 lsl z3.h, p0/m, z3.h, z17.h 04538735 lsl z21.h, p1/m, z21.h, z25.h 04139C82 lsl z2.b, p7/m, z2.b, z4.b 04D3856A lsl z10.d, p1/m, z10.d, z11.d 041394A6 lsl z6.b, p5/m, z6.b, z5.b 045390E4 lsl z4.h, p4/m, z4.h, z7.h 04139456 lsl z22.b, p5/m, z22.b, z2.b 045397A1 lsl z1.h, p5/m, z1.h, z29.h 049393AB lsl z11.s, p4/m, z11.s, z29.s 0453947F lsl z31.h, p5/m, z31.h, z3.h 04939129 lsl z9.s, p4/m, z9.s, z9.s 049391DC lsl z28.s, p4/m, z28.s, z14.s 04538FCB lsl z11.h, p3/m, z11.h, z30.h // lsl_z_zi_ 00000100xx1xxxxx100111xxxxxxxxxx 04EE9EEB lsl z11.d, z23.d, #0x2e 046E9CBF lsl z31.s, z5.s, #0xe 04319DE6 lsl z6.h, z15.h, #0x1 04A69C1D lsl z29.d, z0.d, #0x6 043F9F55 lsl z21.h, z26.h, #0xf 04E59E1A lsl z26.d, z16.d, #0x25 04B89F9B lsl z27.d, z28.d, #0x18 04A69F8C lsl z12.d, z28.d, #0x6 04F39F11 lsl z17.d, z24.d, #0x33 04AF9D65 lsl z5.d, z11.d, #0xf 04A59C9D lsl z29.d, z4.d, #0x5 04EB9C31 lsl z17.d, z1.d, #0x2b 042D9EF7 lsl z23.b, z23.b, #0x5 04EE9DD7 lsl z23.d, z14.d, #0x2e 042A9F31 lsl z17.b, z25.b, #0x2 04389DDC lsl z28.h, z14.h, #0x8 // lsl_z_zw_ 00000100xx1xxxxx100xxxxxxxxxxxxx 04738F60 lsl z0.h, z27.h, z19.d 04618EC9 lsl z9.h, z22.h, z1.d 046C8F19 lsl z25.h, z24.h, z12.d 04338EBC lsl z28.b, z21.b, z19.d 046F8DDF lsl z31.h, z14.h, z15.d 04A58E47 lsl z7.s, z18.s, z5.d 04318F51 lsl z17.b, z26.b, z17.d 04288E54 lsl z20.b, z18.b, z8.d 04738D0D lsl z13.h, z8.h, z19.d 04688EBF lsl z31.h, z21.h, z8.d 046A8F7C lsl z28.h, z27.h, z10.d 04AE8C1D lsl z29.s, z0.s, z14.d 04288E1A lsl z26.b, z16.b, z8.d 04AC8FD0 lsl z16.s, z30.s, z12.d 04668D1A lsl z26.h, z8.h, z6.d 04AD8C68 lsl z8.s, z3.s, z13.d // lslr_z_p_zz_ 00000100xx010111100xxxxxxxxxxxxx 045791FF lslr z31.h, p4/m, z31.h, z15.h 04578216 lslr z22.h, p0/m, z22.h, z16.h 0497974E lslr z14.s, p5/m, z14.s, z26.s 04978B48 lslr z8.s, p2/m, z8.s, z26.s 04D78F3E lslr z30.d, p3/m, z30.d, z25.d 04978D2B lslr z11.s, p3/m, z11.s, z9.s 04D788D1 lslr z17.d, p2/m, z17.d, z6.d 04979E2F lslr z15.s, p7/m, z15.s, z17.s 04578802 lslr z2.h, p2/m, z2.h, z0.h 0417885D lslr z29.b, p2/m, z29.b, z2.b 041794EB lslr z11.b, p5/m, z11.b, z7.b 04579FF1 lslr z17.h, p7/m, z17.h, z31.h 04178F74 lslr z20.b, p3/m, z20.b, z27.b 0497802D lslr z13.s, p0/m, z13.s, z1.s 045793BA lslr z26.h, p4/m, z26.h, z29.h 04579A9F lslr z31.h, p6/m, z31.h, z20.h // lsr_z_p_zi_ 00000100xx000001100xxxxxxxxxxxxx 04C18705 lsr z5.d, p1/m, z5.d, #0x8 04418A22 lsr z2.s, p2/m, z2.s, #0xf 04C19FF7 lsr z23.d, p7/m, z23.d, #0x1 04018F57 lsr z23.h, p3/m, z23.h, #0x6 04C189ED lsr z13.d, p2/m, z13.d, #0x11 048182CA lsr z10.d, p0/m, z10.d, #0x2a 04C199D5 lsr z21.d, p6/m, z21.d, #0x12 0401999D lsr z29.b, p6/m, z29.b, #0x4 04419E77 lsr z23.s, p7/m, z23.s, #0xd 04C19613 lsr z19.d, p5/m, z19.d, #0x10 04C19707 lsr z7.d, p5/m, z7.d, #0x8 04018D14 lsr z20.b, p3/m, z20.b, #0x8 04C1811F lsr z31.d, p0/m, z31.d, #0x18 04018D83 lsr z3.b, p3/m, z3.b, #0x4 044180D6 lsr z22.s, p0/m, z22.s, #0x1a 04C18CEF lsr z15.d, p3/m, z15.d, #0x19 // lsr_z_p_zw_ 00000100xx011001100xxxxxxxxxxxxx 049992FD lsr z29.s, p4/m, z29.s, z23.d 0499875C lsr z28.s, p1/m, z28.s, z26.d 04198F21 lsr z1.b, p3/m, z1.b, z25.d 04999B54 lsr z20.s, p6/m, z20.s, z26.d 04598D2B lsr z11.h, p3/m, z11.h, z9.d 04998F22 lsr z2.s, p3/m, z2.s, z25.d 04198644 lsr z4.b, p1/m, z4.b, z18.d 0419922F lsr z15.b, p4/m, z15.b, z17.d 049985B4 lsr z20.s, p1/m, z20.s, z13.d 04199A24 lsr z4.b, p6/m, z4.b, z17.d 04999FF7 lsr z23.s, p7/m, z23.s, z31.d 041984B6 lsr z22.b, p1/m, z22.b, z5.d 04598F31 lsr z17.h, p3/m, z17.h, z25.d 04599522 lsr z2.h, p5/m, z2.h, z9.d 045990BF lsr z31.h, p4/m, z31.h, z5.d 04199903 lsr z3.b, p6/m, z3.b, z8.d // lsr_z_p_zz_ 00000100xx01000110xxxxxxxxxxxxxx 041198A5 lsr z5.b, p6/m, z5.b, z5.b 041197B0 lsr z16.b, p5/m, z16.b, z29.b 04119A98 lsr z24.b, p6/m, z24.b, z20.b 04918CDD lsr z29.s, p3/m, z29.s, z6.s 04D19584 lsr z4.d, p5/m, z4.d, z12.d 041187E4 lsr z4.b, p1/m, z4.b, z31.b 04D19878 lsr z24.d, p6/m, z24.d, z3.d 041184BB lsr z27.b, p1/m, z27.b, z5.b 041184CE lsr z14.b, p1/m, z14.b, z6.b 045187C3 lsr z3.h, p1/m, z3.h, z30.h 045188C0 lsr z0.h, p2/m, z0.h, z6.h 04D198BB lsr z27.d, p6/m, z27.d, z5.d 049192AB lsr z11.s, p4/m, z11.s, z21.s 04919CC4 lsr z4.s, p7/m, z4.s, z6.s 0491847B lsr z27.s, p1/m, z27.s, z3.s 04118FD9 lsr z25.b, p3/m, z25.b, z30.b // lsr_z_zi_ 00000100xx1xxxxx100101xxxxxxxxxx 042D94C8 lsr z8.b, z6.b, #0x3 04709761 lsr z1.s, z27.s, #0x10 043395F7 lsr z23.h, z15.h, #0xd 043095EB lsr z11.h, z15.h, #0x10 043E9518 lsr z24.h, z8.h, #0x2 04EC971C lsr z28.d, z24.d, #0x14 04619491 lsr z17.s, z4.s, #0x1f 0477968B lsr z11.s, z20.s, #0x9 047D97B9 lsr z25.s, z29.s, #0x3 04EC966B lsr z11.d, z19.d, #0x14 04B996B4 lsr z20.d, z21.d, #0x27 0478970F lsr z15.s, z24.s, #0x8 04B6968E lsr z14.d, z20.d, #0x2a 04A2956D lsr z13.d, z11.d, #0x3e 04F396C0 lsr z0.d, z22.d, #0xd 04E7950E lsr z14.d, z8.d, #0x19 // lsr_z_zw_ 00000100xx1xxxxx100001xxxxxxxxxx 0475868A lsr z10.h, z20.h, z21.d 047D8446 lsr z6.h, z2.h, z29.d 046C8601 lsr z1.h, z16.h, z12.d 0422849E lsr z30.b, z4.b, z2.d 04258761 lsr z1.b, z27.b, z5.d 0467868D lsr z13.h, z20.h, z7.d 04B986E8 lsr z8.s, z23.s, z25.d 047486CE lsr z14.h, z22.h, z20.d 04798619 lsr z25.h, z16.h, z25.d 04B88739 lsr z25.s, z25.s, z24.d 04608565 lsr z5.h, z11.h, z0.d 04BB85E2 lsr z2.s, z15.s, z27.d 04BA87E7 lsr z7.s, z31.s, z26.d 04A884B6 lsr z22.s, z5.s, z8.d 046085D3 lsr z19.h, z14.h, z0.d 04B68456 lsr z22.s, z2.s, z22.d // lsrr_z_p_zz_ 00000100xx01010110xxxxxxxxxxxxxx 04559573 lsrr z19.h, p5/m, z19.h, z11.h 049588E9 lsrr z9.s, p2/m, z9.s, z7.s 04959551 lsrr z17.s, p5/m, z17.s, z10.s 04559DB4 lsrr z20.h, p7/m, z20.h, z13.h 04158385 lsrr z5.b, p0/m, z5.b, z28.b 04D59FB1 lsrr z17.d, p7/m, z17.d, z29.d 045582CC lsrr z12.h, p0/m, z12.h, z22.h 04959858 lsrr z24.s, p6/m, z24.s, z2.s 04D59C0B lsrr z11.d, p7/m, z11.d, z0.d 04159CA6 lsrr z6.b, p7/m, z6.b, z5.b 04558D1A lsrr z26.h, p3/m, z26.h, z8.h 04D59E5C lsrr z28.d, p7/m, z28.d, z18.d 0455830A lsrr z10.h, p0/m, z10.h, z24.h 04D583C1 lsrr z1.d, p0/m, z1.d, z30.d 0455979C lsrr z28.h, p5/m, z28.h, z28.h 04959F06 lsrr z6.s, p7/m, z6.s, z24.s // mad_z_p_zzz_ 00000100xx0xxxxx110xxxxxxxxxxxxx 044CD5EB mad z11.h, p5/m, z12.h, z15.h 0407DEB9 mad z25.b, p7/m, z7.b, z21.b 04DDC089 mad z9.d, p0/m, z29.d, z4.d 04D2DB42 mad z2.d, p6/m, z18.d, z26.d 0444C484 mad z4.h, p1/m, z4.h, z4.h 0444CA2C mad z12.h, p2/m, z4.h, z17.h 044ECE2E mad z14.h, p3/m, z14.h, z17.h 04D1D894 mad z20.d, p6/m, z17.d, z4.d 0403C063 mad z3.b, p0/m, z3.b, z3.b 04C7C311 mad z17.d, p0/m, z7.d, z24.d 045BD518 mad z24.h, p5/m, z27.h, z8.h 048DDD0F mad z15.s, p7/m, z13.s, z8.s 04CFD4D1 mad z17.d, p5/m, z15.d, z6.d 0416CF75 mad z21.b, p3/m, z22.b, z27.b 048DC8DB mad z27.s, p2/m, z13.s, z6.s 0482DB08 mad z8.s, p6/m, z2.s, z24.s // mla_z_p_zzz_ 00000100xx0xxxxx010xxxxxxxxxxxxx 04185317 mla z23.b, p4/m, z24.b, z24.b 04484A4B mla z11.h, p2/m, z18.h, z8.h 04C25781 mla z1.d, p5/m, z28.d, z2.d 04125896 mla z22.b, p6/m, z4.b, z18.b 0448529E mla z30.h, p4/m, z20.h, z8.h 04C2520D mla z13.d, p4/m, z16.d, z2.d 04104618 mla z24.b, p1/m, z16.b, z16.b 04445E41 mla z1.h, p7/m, z18.h, z4.h 04C94316 mla z22.d, p0/m, z24.d, z9.d 045A5F3D mla z29.h, p7/m, z25.h, z26.h 048E426F mla z15.s, p0/m, z19.s, z14.s 04964ADF mla z31.s, p2/m, z22.s, z22.s 04DA4744 mla z4.d, p1/m, z26.d, z26.d 04594414 mla z20.h, p1/m, z0.h, z25.h 045A4B6D mla z13.h, p2/m, z27.h, z26.h 04935F70 mla z16.s, p7/m, z27.s, z19.s // mls_z_p_zzz_ 00000100xx0xxxxxxxxxxxxxxxxxxxxx 04137E2D mls z13.b, p7/m, z17.b, z19.b 040279B7 mls z23.b, p6/m, z13.b, z2.b 04D97E1E mls z30.d, p7/m, z16.d, z25.d 04417865 mls z5.h, p6/m, z3.h, z1.h 04576D67 mls z7.h, p3/m, z11.h, z23.h 04007249 mls z9.b, p4/m, z18.b, z0.b 048267AD mls z13.s, p1/m, z29.s, z2.s 040D741A mls z26.b, p5/m, z0.b, z13.b 045F79B6 mls z22.h, p6/m, z13.h, z31.h 04D57111 mls z17.d, p4/m, z8.d, z21.d 04D8690B mls z11.d, p2/m, z8.d, z24.d 04827395 mls z21.s, p4/m, z28.s, z2.s 04DF7192 mls z18.d, p4/m, z12.d, z31.d 04CC6225 mls z5.d, p0/m, z17.d, z12.d 04CF6F61 mls z1.d, p3/m, z27.d, z15.d 04597266 mls z6.h, p4/m, z19.h, z25.h // movprfx_z_p_z_ 00000100xx01000x001xxxxxxxxxxxxx 04113549 movprfx z9.b, p5/m, z10.b 04913666 movprfx z6.s, p5/m, z19.s 041027F4 movprfx z20.b, p1/z, z31.b 04D02914 movprfx z20.d, p2/z, z8.d 04D03802 movprfx z2.d, p6/z, z0.d 04513F4C movprfx z12.h, p7/m, z26.h 04102A09 movprfx z9.b, p2/z, z16.b 0450230C movprfx z12.h, p0/z, z24.h 04512E48 movprfx z8.h, p3/m, z18.h 04D0378A movprfx z10.d, p5/z, z28.d 04902490 movprfx z16.s, p1/z, z4.s 0450242A movprfx z10.h, p1/z, z1.h 04912E01 movprfx z1.s, p3/m, z16.s 04D02A47 movprfx z7.d, p2/z, z18.d 049024AA movprfx z10.s, p1/z, z5.s 04913668 movprfx z8.s, p5/m, z19.s // movprfx_z_z_ 0000010000100000101111xxxxxxxxxx 0420BC18 movprfx z24, z0 0420BD26 movprfx z6, z9 0420BC8E movprfx z14, z4 0420BDFA movprfx z26, z15 0420BE0B movprfx z11, z16 0420BEBE movprfx z30, z21 0420BE0A movprfx z10, z16 0420BF38 movprfx z24, z25 0420BD12 movprfx z18, z8 0420BED4 movprfx z20, z22 0420BD6C movprfx z12, z11 0420BF52 movprfx z18, z26 0420BC81 movprfx z1, z4 0420BC76 movprfx z22, z3 0420BCA4 movprfx z4, z5 0420BC41 movprfx z1, z2 // msb_z_p_zzz_ 00000100xx0xxxxxxxxxxxxxxxxxxxxx 04C2EE1F msb z31.d, p3/m, z2.d, z16.d 041CE269 msb z9.b, p0/m, z28.b, z19.b 04D7F265 msb z5.d, p4/m, z23.d, z19.d 0459E72F msb z15.h, p1/m, z25.h, z25.h 0401FA15 msb z21.b, p6/m, z1.b, z16.b 0445EF01 msb z1.h, p3/m, z5.h, z24.h 04DFF8EB msb z11.d, p6/m, z31.d, z7.d 0449FF6C msb z12.h, p7/m, z9.h, z27.h 0481FAC2 msb z2.s, p6/m, z1.s, z22.s 04D5F9A0 msb z0.d, p6/m, z21.d, z13.d 0495FE52 msb z18.s, p7/m, z21.s, z18.s 045FF234 msb z20.h, p4/m, z31.h, z17.h 0408EC3C msb z28.b, p3/m, z8.b, z1.b 0409EDEE msb z14.b, p3/m, z9.b, z15.b 0448E9A2 msb z2.h, p2/m, z8.h, z13.h 048BF59C msb z28.s, p5/m, z11.s, z12.s // mul_z_p_zz_ 00000100xx010000000xxxxxxxxxxxxx 04900F0E mul z14.s, p3/m, z14.s, z24.s 04101DA8 mul z8.b, p7/m, z8.b, z13.b 04D0109D mul z29.d, p4/m, z29.d, z4.d 0410155F mul z31.b, p5/m, z31.b, z10.b 04100007 mul z7.b, p0/m, z7.b, z0.b 04901700 mul z0.s, p5/m, z0.s, z24.s 041011B4 mul z20.b, p4/m, z20.b, z13.b 04D01F55 mul z21.d, p7/m, z21.d, z26.d 045001E9 mul z9.h, p0/m, z9.h, z15.h 04D015B0 mul z16.d, p5/m, z16.d, z13.d 04501D59 mul z25.h, p7/m, z25.h, z10.h 0410150D mul z13.b, p5/m, z13.b, z8.b 04D0086B mul z11.d, p2/m, z11.d, z3.d 04501A93 mul z19.h, p6/m, z19.h, z20.h 041000BD mul z29.b, p0/m, z29.b, z5.b 049012EE mul z14.s, p4/m, z14.s, z23.s // mul_z_zi_ 00100101xx110000110xxxxxxxxxxxxx 2530DEC1 mul z1.b, z1.b, #-10 25B0D083 mul z3.s, z3.s, #-124 25F0C84F mul z15.d, z15.d, #0x42 25B0DF86 mul z6.s, z6.s, #-4 25B0CD48 mul z8.s, z8.s, #0x6a 25F0D96E mul z14.d, z14.d, #-53 2570CB28 mul z8.h, z8.h, #0x59 25F0C5DB mul z27.d, z27.d, #0x2e 2570D5AB mul z11.h, z11.h, #-83 2570C4F0 mul z16.h, z16.h, #0x27 2530D79E mul z30.b, z30.b, #-68 2530CD00 mul z0.b, z0.b, #0x68 25B0C1E3 mul z3.s, z3.s, #0xf 25B0C568 mul z8.s, z8.s, #0x2b 25F0CCA9 mul z9.d, z9.d, #0x65 2530D3FC mul z28.b, z28.b, #-97 // nand_p_p_pp_z 001001011000xxxx01xxxx1xxxx1xxxx 25887E9D nand p13.b, p15/z, p4.b, p8.b 258E6E7B nand p11.b, p11/z, p3.b, p14.b 258A6253 nand p3.b, p8/z, p2.b, p10.b 258E42F7 nand p7.b, p0/z, p7.b, p14.b 25867A97 nand p7.b, p14/z, p4.b, p6.b 25836A70 nand p0.b, p10/z, p3.b, p3.b 258743FE nand p14.b, p0/z, p15.b, p7.b 25826A56 nand p6.b, p10/z, p2.b, p2.b 258B7F18 nand p8.b, p15/z, p8.b, p11.b 25877F75 nand p5.b, p15/z, p11.b, p7.b 258C4A16 nand p6.b, p2/z, p0.b, p12.b 25846AB2 nand p2.b, p10/z, p5.b, p4.b 25837A51 nand p1.b, p14/z, p2.b, p3.b 258F4ED8 nand p8.b, p3/z, p6.b, p15.b 258E7E99 nand p9.b, p15/z, p4.b, p14.b 25875612 nand p2.b, p5/z, p0.b, p7.b // nands_p_p_pp_z 001001011100xxxx01xxxx1xxxx1xxxx 25CC63B9 nands p9.b, p8/z, p13.b, p12.b 25CF4E5C nands p12.b, p3/z, p2.b, p15.b 25CF6359 nands p9.b, p8/z, p10.b, p15.b 25CC5313 nands p3.b, p4/z, p8.b, p12.b 25C257D4 nands p4.b, p5/z, p14.b, p2.b 25C3577D nands p13.b, p5/z, p11.b, p3.b 25CB4677 nands p7.b, p1/z, p3.b, p11.b 25C24A98 nands p8.b, p2/z, p4.b, p2.b 25C87EFD nands p13.b, p15/z, p7.b, p8.b 25C95A7C nands p12.b, p6/z, p3.b, p9.b 25CA52F2 nands p2.b, p4/z, p7.b, p10.b 25CD7258 nands p8.b, p12/z, p2.b, p13.b 25C85F11 nands p1.b, p7/z, p8.b, p8.b 25CF6F18 nands p8.b, p11/z, p8.b, p15.b 25C66F78 nands p8.b, p11/z, p11.b, p6.b 25CD6BBC nands p12.b, p10/z, p13.b, p13.b // neg_z_p_z_ 00000100xx010111101xxxxxxxxxxxxx 0457A14A neg z10.h, p0/m, z10.h 04D7A618 neg z24.d, p1/m, z16.d 04D7B312 neg z18.d, p4/m, z24.d 04D7A0B4 neg z20.d, p0/m, z5.d 0497A02C neg z12.s, p0/m, z1.s 0417A8DF neg z31.b, p2/m, z6.b 04D7AD2C neg z12.d, p3/m, z9.d 0497B6E6 neg z6.s, p5/m, z23.s 04D7BE76 neg z22.d, p7/m, z19.d 04D7A571 neg z17.d, p1/m, z11.d 0417B825 neg z5.b, p6/m, z1.b 0417B7B1 neg z17.b, p5/m, z29.b 0457ABD2 neg z18.h, p2/m, z30.h 0457AE14 neg z20.h, p3/m, z16.h 0417B32E neg z14.b, p4/m, z25.b 0497AE4C neg z12.s, p3/m, z18.s // nor_p_p_pp_z 001001011000xxxx01xxxx1xxxx0xxxx 258C5F63 nor p3.b, p7/z, p11.b, p12.b 2587434C nor p12.b, p0/z, p10.b, p7.b 258D6F66 nor p6.b, p11/z, p11.b, p13.b 258763C0 nor p0.b, p8/z, p14.b, p7.b 258547AE nor p14.b, p1/z, p13.b, p5.b 2587776E nor p14.b, p13/z, p11.b, p7.b 258C7E6B nor p11.b, p15/z, p3.b, p12.b 2589562D nor p13.b, p5/z, p1.b, p9.b 25846FEB nor p11.b, p11/z, p15.b, p4.b 258C7B83 nor p3.b, p14/z, p12.b, p12.b 2588726D nor p13.b, p12/z, p3.b, p8.b 25854B02 nor p2.b, p2/z, p8.b, p5.b 258E4621 nor p1.b, p1/z, p1.b, p14.b 258E6284 nor p4.b, p8/z, p4.b, p14.b 2584622E nor p14.b, p8/z, p1.b, p4.b 258176C2 nor p2.b, p13/z, p6.b, p1.b // nors_p_p_pp_z 001001011100xxxx01xxxx1xxxx0xxxx 25C957AA nors p10.b, p5/z, p13.b, p9.b 25C45E2B nors p11.b, p7/z, p1.b, p4.b 25CD538F nors p15.b, p4/z, p12.b, p13.b 25CE5EAB nors p11.b, p7/z, p5.b, p14.b 25CC4B44 nors p4.b, p2/z, p10.b, p12.b 25C87627 nors p7.b, p13/z, p1.b, p8.b 25C26A88 nors p8.b, p10/z, p4.b, p2.b 25C4432B nors p11.b, p0/z, p9.b, p4.b 25C2576F nors p15.b, p5/z, p11.b, p2.b 25C35FA9 nors p9.b, p7/z, p13.b, p3.b 25C74267 nors p7.b, p0/z, p3.b, p7.b 25CF7E08 nors p8.b, p15/z, p0.b, p15.b 25CB63CB nors p11.b, p8/z, p14.b, p11.b 25C87F6B nors p11.b, p15/z, p11.b, p8.b 25CE4F20 nors p0.b, p3/z, p9.b, p14.b 25C252EA nors p10.b, p4/z, p7.b, p2.b // not_z_p_z_ 00000100xx011110101xxxxxxxxxxxxx 041EBFFF not z31.b, p7/m, z31.b 049EA6CB not z11.s, p1/m, z22.s 049EBDE0 not z0.s, p7/m, z15.s 049EB25B not z27.s, p4/m, z18.s 049EBF59 not z25.s, p7/m, z26.s 041EA1D1 not z17.b, p0/m, z14.b 041EBC40 not z0.b, p7/m, z2.b 04DEB72D not z13.d, p5/m, z25.d 045EBC4A not z10.h, p7/m, z2.h 049EBD48 not z8.s, p7/m, z10.s 041EA4CD not z13.b, p1/m, z6.b 049EA2CE not z14.s, p0/m, z22.s 04DEB3BD not z29.d, p4/m, z29.d 04DEB3A4 not z4.d, p4/m, z29.d 041EBD85 not z5.b, p7/m, z12.b 049EA2CA not z10.s, p0/m, z22.s // orn_p_p_pp_z 001001011000xxxx01xxxx0xxxx1xxxx 25896D93 orn p3.b, p11/z, p12.b, p9.b 25836053 orn p3.b, p8/z, p2.b, p3.b 258F757D orn p13.b, p13/z, p11.b, p15.b 25877954 orn p4.b, p14/z, p10.b, p7.b 2584719D orn p13.b, p12/z, p12.b, p4.b 25897894 orn p4.b, p14/z, p4.b, p9.b 25825453 orn p3.b, p5/z, p2.b, p2.b 258C78B7 orn p7.b, p14/z, p5.b, p12.b 25846039 orn p9.b, p8/z, p1.b, p4.b 258F4599 orn p9.b, p1/z, p12.b, p15.b 258A4CF8 orn p8.b, p3/z, p7.b, p10.b 25865D7F orn p15.b, p7/z, p11.b, p6.b 258071BF orn p15.b, p12/z, p13.b, p0.b 25824C96 orn p6.b, p3/z, p4.b, p2.b 25884498 orn p8.b, p1/z, p4.b, p8.b 2587509C orn p12.b, p4/z, p4.b, p7.b // orns_p_p_pp_z 001001011100xxxx01xxxx0xxxx1xxxx 25C840DB orns p11.b, p0/z, p6.b, p8.b 25C640D5 orns p5.b, p0/z, p6.b, p6.b 25C95C12 orns p2.b, p7/z, p0.b, p9.b 25C66D17 orns p7.b, p11/z, p8.b, p6.b 25CB7C39 orns p9.b, p15/z, p1.b, p11.b 25C2741E orns p14.b, p13/z, p0.b, p2.b 25C74812 orns p2.b, p2/z, p0.b, p7.b 25C2413F orns p15.b, p0/z, p9.b, p2.b 25CE5CB2 orns p2.b, p7/z, p5.b, p14.b 25C37D3D orns p13.b, p15/z, p9.b, p3.b 25C26C74 orns p4.b, p11/z, p3.b, p2.b 25C0581F orns p15.b, p6/z, p0.b, p0.b 25C475B8 orns p8.b, p13/z, p13.b, p4.b 25CB69BD orns p13.b, p10/z, p13.b, p11.b 25C85C55 orns p5.b, p7/z, p2.b, p8.b 25C24835 orns p5.b, p2/z, p1.b, p2.b // orr_p_p_pp_z 001001011000xxxx01xxxx0xxxx0xxxx 25846D42 orr p2.b, p11/z, p10.b, p4.b 25816486 orr p6.b, p9/z, p4.b, p1.b 2580586E orr p14.b, p6/z, p3.b, p0.b 258E69AB orr p11.b, p10/z, p13.b, p14.b 258655EB orr p11.b, p5/z, p15.b, p6.b 258245A8 orr p8.b, p1/z, p13.b, p2.b 258C4182 orr p2.b, p0/z, p12.b, p12.b 258C6589 orr p9.b, p9/z, p12.b, p12.b 2580452B orr p11.b, p1/z, p9.b, p0.b 258E5003 orr p3.b, p4/z, p0.b, p14.b 258A6003 orr p3.b, p8/z, p0.b, p10.b 25837426 orr p6.b, p13/z, p1.b, p3.b 25894409 orr p9.b, p1/z, p0.b, p9.b 25806DC1 orr p1.b, p11/z, p14.b, p0.b 25886CAA orr p10.b, p11/z, p5.b, p8.b 258D5C44 orr p4.b, p7/z, p2.b, p13.b // orr_z_p_zz_ 00000100xx011000000xxxxxxxxxxxxx 04181CF6 orr z22.b, p7/m, z22.b, z7.b 04D80EBB orr z27.d, p3/m, z27.d, z21.d 04180050 orr z16.b, p0/m, z16.b, z2.b 04980D98 orr z24.s, p3/m, z24.s, z12.s 04181519 orr z25.b, p5/m, z25.b, z8.b 041813FB orr z27.b, p4/m, z27.b, z31.b 045818E5 orr z5.h, p6/m, z5.h, z7.h 04D813D9 orr z25.d, p4/m, z25.d, z30.d 04181ED6 orr z22.b, p7/m, z22.b, z22.b 04181EBC orr z28.b, p7/m, z28.b, z21.b 04D81808 orr z8.d, p6/m, z8.d, z0.d 041801AA orr z10.b, p0/m, z10.b, z13.b 049819CC orr z12.s, p6/m, z12.s, z14.s 04D8086E orr z14.d, p2/m, z14.d, z3.d 04980DFF orr z31.s, p3/m, z31.s, z15.s 0458138A orr z10.h, p4/m, z10.h, z28.h // orr_z_zi_ 00000101000000xxxxxxxxxxxxxxxxxx 05032477 orr z23.d, z23.d, #0xfffffffff0000000 05019877 orr z23.s, z23.s, #0x1e000 05020C00 orr z0.d, z0.d, #0x80000000ffffffff 0501B9CE orr z14.s, z14.s, #0xfffe00 05034466 orr z6.d, z6.d, #0xfffffffff000000 05029FB6 orr z22.d, z22.d, #0xffffe7ffffffffff 050341FD orr z29.d, z29.d, #0xffff000000 0502AB76 orr z22.d, z22.d, #0xfffff8000000007f 05010B1E orr z30.s, z30.s, #0x80ffffff 05034279 orr z25.d, z25.d, #0xfffff000000 05009BD5 orr z21.s, z21.s, #0xffffefff 050005D9 orr z25.h, z25.h, #0x7fff 0500975C orr z28.b, z28.b, #0xdd 0502682A orr z10.d, z10.d, #0x18000000000000 05002295 orr z21.s, z21.s, #0xf001ffff 05032D46 orr z6.d, z6.d, #0xfffffffff800003f // orr_z_zz_ 00000100011xxxxx001100xxxxxxxxxx 04743355 orr z21.d, z26.d, z20.d 046A31DC orr z28.d, z14.d, z10.d 0461309B orr z27.d, z4.d, z1.d 046A30CE orr z14.d, z6.d, z10.d 0468334B orr z11.d, z26.d, z8.d 047030DF orr z31.d, z6.d, z16.d 047C31C3 orr z3.d, z14.d, z28.d 04703117 orr z23.d, z8.d, z16.d 047B3158 orr z24.d, z10.d, z27.d 0475300F orr z15.d, z0.d, z21.d 04793168 orr z8.d, z11.d, z25.d 047633A6 orr z6.d, z29.d, z22.d 046432DC orr z28.d, z22.d, z4.d 04723280 orr z0.d, z20.d, z18.d 047431FE orr z30.d, z15.d, z20.d 047A326C orr z12.d, z19.d, z26.d // orrs_p_p_pp_z 001001011100xxxx01xxxx0xxxx0xxxx 25C351E9 orrs p9.b, p4/z, p15.b, p3.b 25CD59AF orrs p15.b, p6/z, p13.b, p13.b 25C361EB orrs p11.b, p8/z, p15.b, p3.b 25C450A0 orrs p0.b, p4/z, p5.b, p4.b 25C859A0 orrs p0.b, p6/z, p13.b, p8.b 25C451ED orrs p13.b, p4/z, p15.b, p4.b 25C36D45 orrs p5.b, p11/z, p10.b, p3.b 25C948A4 orrs p4.b, p2/z, p5.b, p9.b 25CF44A3 orrs p3.b, p1/z, p5.b, p15.b 25C04D87 orrs p7.b, p3/z, p12.b, p0.b 25C55542 orrs p2.b, p5/z, p10.b, p5.b 25C87D8C orrs p12.b, p15/z, p12.b, p8.b 25CA60EC orrs p12.b, p8/z, p7.b, p10.b 25CA6D4A orrs p10.b, p11/z, p10.b, p10.b 25CD59EF orrs p15.b, p6/z, p15.b, p13.b 25CC55E1 orrs p1.b, p5/z, p15.b, p12.b // orv_r_p_z_ 00000100xx011000001xxxxxxxxxxxxx 04582949 orv h9, p2, z10.h 04582301 orv h1, p0, z24.h 04183B9F orv b31, p6, z28.b 04182DC9 orv b9, p3, z14.b 04183BB1 orv b17, p6, z29.b 04D83D8A orv d10, p7, z12.d 04D83436 orv d22, p5, z1.d 04182A90 orv b16, p2, z20.b 04D82125 orv d5, p0, z9.d 04D82EFF orv d31, p3, z23.d 04983FBF orv s31, p7, z29.s 04583B92 orv h18, p6, z28.h 049834F0 orv s16, p5, z7.s 04183ADB orv b27, p6, z22.b 04583642 orv h2, p5, z18.h 04582178 orv h24, p0, z11.h // pfalse_p_ 0010010100011000111001000000xxxx 2518E409 pfalse p9.b 2518E40B pfalse p11.b 2518E403 pfalse p3.b 2518E406 pfalse p6.b 2518E404 pfalse p4.b 2518E40E pfalse p14.b 2518E40A pfalse p10.b 2518E405 pfalse p5.b 2518E407 pfalse p7.b 2518E400 pfalse p0.b 2518E408 pfalse p8.b 2518E40C pfalse p12.b 2518E40F pfalse p15.b 2518E40D pfalse p13.b 2518E401 pfalse p1.b 2518E402 pfalse p2.b // pfirst_p_p_p_ 00100101010110001100000xxxx0xxxx 2558C0E8 pfirst p8.b, p7, p8.b 2558C04F pfirst p15.b, p2, p15.b 2558C16A pfirst p10.b, p11, p10.b 2558C041 pfirst p1.b, p2, p1.b 2558C165 pfirst p5.b, p11, p5.b 2558C107 pfirst p7.b, p8, p7.b 2558C1E7 pfirst p7.b, p15, p7.b 2558C089 pfirst p9.b, p4, p9.b 2558C0E5 pfirst p5.b, p7, p5.b 2558C001 pfirst p1.b, p0, p1.b 2558C187 pfirst p7.b, p12, p7.b 2558C128 pfirst p8.b, p9, p8.b 2558C143 pfirst p3.b, p10, p3.b 2558C12A pfirst p10.b, p9, p10.b 2558C18E pfirst p14.b, p12, p14.b 2558C16F pfirst p15.b, p11, p15.b // pnext_p_p_p_ 00100101xx0110011100010xxxx0xxxx 25D9C52F pnext p15.d, p9, p15.d 25D9C48A pnext p10.d, p4, p10.d 2519C522 pnext p2.b, p9, p2.b 25D9C423 pnext p3.d, p1, p3.d 2599C4E8 pnext p8.s, p7, p8.s 2519C507 pnext p7.b, p8, p7.b 2599C52C pnext p12.s, p9, p12.s 25D9C4C9 pnext p9.d, p6, p9.d 2599C483 pnext p3.s, p4, p3.s 2519C580 pnext p0.b, p12, p0.b 25D9C50A pnext p10.d, p8, p10.d 25D9C548 pnext p8.d, p10, p8.d 2599C4AA pnext p10.s, p5, p10.s 2519C56C pnext p12.b, p11, p12.b 2599C4EC pnext p12.s, p7, p12.s 2599C523 pnext p3.s, p9, p3.s // prfb_i_p_ai_d 11000100000xxxxx111xxxxxxxx0xxxx C418E1C5 prfb pldl3strm, p0, [z14.d, #0x18] C401FF87 prfb #0x7, p7, [z28.d, #0x1] C418FF68 prfb pstl1keep, p7, [z27.d, #0x18] C409F2CA prfb pstl2keep, p4, [z22.d, #0x9] C41CED2C prfb pstl3keep, p3, [z9.d, #0x1c] C412F84F prfb #0xf, p6, [z2.d, #0x12] C406E4A9 prfb pstl1strm, p1, [z5.d, #0x6] C40DEF62 prfb pldl2keep, p3, [z27.d, #0xd] C41EE42E prfb #0xe, p1, [z1.d, #0x1e] C404E16F prfb #0xf, p0, [z11.d, #0x4] C414F407 prfb #0x7, p5, [z0.d, #0x14] C40DFE0D prfb pstl3strm, p7, [z16.d, #0xd] C413EBA5 prfb pldl3strm, p2, [z29.d, #0x13] C406E4CD prfb pstl3strm, p1, [z6.d, #0x6] C40DEE8C prfb pstl3keep, p3, [z20.d, #0xd] C402E02C prfb pstl3keep, p0, [z1.d, #0x2] // prfb_i_p_ai_s 10000100000xxxxx111xxxxxxxx0xxxx 8413FD89 prfb pstl1strm, p7, [z12.s, #0x13] 841AF5AE prfb #0xe, p5, [z13.s, #0x1a] 840AF2CF prfb #0xf, p4, [z22.s, #0xa] 8414E9EE prfb #0xe, p2, [z15.s, #0x14] 8419EC41 prfb pldl1strm, p3, [z2.s, #0x19] 8401F643 prfb pldl2strm, p5, [z18.s, #0x1] 841BFA67 prfb #0x7, p6, [z19.s, #0x1b] 840DE707 prfb #0x7, p1, [z24.s, #0xd] 8404F262 prfb pldl2keep, p4, [z19.s, #0x4] 8408E029 prfb pstl1strm, p0, [z1.s, #0x8] 8409F5E2 prfb pldl2keep, p5, [z15.s, #0x9] 840CF8AA prfb pstl2keep, p6, [z5.s, #0xc] 8419F5A2 prfb pldl2keep, p5, [z13.s, #0x19] 841EFC46 prfb #0x6, p7, [z2.s, #0x1e] 8403EB2A prfb pstl2keep, p2, [z25.s, #0x3] 8400F9AA prfb pstl2keep, p6, [z13.s] // prfb_i_p_bi_s 1000010111xxxxxx000xxxxxxxx0xxxx 85ED01E4 prfb pldl3keep, p0, [x15, #-19, mul vl] 85E60703 prfb pldl2strm, p1, [x24, #-26, mul vl] 85DD1261 prfb pldl1strm, p4, [x19, #0x1d, mul vl] 85D41B00 prfb pldl1keep, p6, [x24, #0x14, mul vl] 85DE0CA6 prfb #0x6, p3, [x5, #0x1e, mul vl] 85C70C4D prfb pstl3strm, p3, [x2, #0x7, mul vl] 85E71EE8 prfb pstl1keep, p7, [x23, #-25, mul vl] 85ED044C prfb pstl3keep, p1, [x2, #-19, mul vl] 85CF00A1 prfb pldl1strm, p0, [x5, #0xf, mul vl] 85F50080 prfb pldl1keep, p0, [x4, #-11, mul vl] 85F20A49 prfb pstl1strm, p2, [x18, #-14, mul vl] 85CD12C1 prfb pldl1strm, p4, [x22, #0xd, mul vl] 85EC0F62 prfb pldl2keep, p3, [x27, #-20, mul vl] 85EA1968 prfb pstl1keep, p6, [x11, #-22, mul vl] 85F504A3 prfb pldl2strm, p1, [x5, #-11, mul vl] 85C00443 prfb pldl2strm, p1, [x2] // prfb_i_p_br_s 10000100000xxxxx110xxxxxxxx0xxxx 8414C7EF prfb #0xf, p1, [sp, x20] 8410D340 prfb pldl1keep, p4, [x26, x16] 8419DCA8 prfb pstl1keep, p7, [x5, x25] 8418DBE5 prfb pldl3strm, p6, [sp, x24] 8416CBCF prfb #0xf, p2, [x30, x22] 841DDA04 prfb pldl3keep, p6, [x16, x29] 8411D6C2 prfb pldl2keep, p5, [x22, x17] 8415D7C1 prfb pldl1strm, p5, [x30, x21] 840BCB63 prfb pldl2strm, p2, [x27, x11] 840CD663 prfb pldl2strm, p5, [x19, x12] 8405D5E3 prfb pldl2strm, p5, [x15, x5] 840ADA4F prfb #0xf, p6, [x18, x10] 8404C06A prfb pstl2keep, p0, [x3, x4] 840FC9C3 prfb pldl2strm, p2, [x14, x15] 8401C308 prfb pstl1keep, p0, [x24, x1] 8402DB66 prfb #0x6, p6, [x27, x2] // prfb_i_p_bz_d_64_scaled 11000100011xxxxx100xxxxxxxx0xxxx C4679D42 prfb pldl2keep, p7, [x10, z7.d] C46299C0 prfb pldl1keep, p6, [x14, z2.d] C46F842B prfb pstl2strm, p1, [x1, z15.d] C4649A83 prfb pldl2strm, p6, [x20, z4.d] C46B9BA5 prfb pldl3strm, p6, [x29, z11.d] C4659DC5 prfb pldl3strm, p7, [x14, z5.d] C467878F prfb #0xf, p1, [x28, z7.d] C4789DE1 prfb pldl1strm, p7, [x15, z24.d] C4628063 prfb pldl2strm, p0, [x3, z2.d] C475866C prfb pstl3keep, p1, [x19, z21.d] C461846B prfb pstl2strm, p1, [x3, z1.d] C471994C prfb pstl3keep, p6, [x10, z17.d] C47A8968 prfb pstl1keep, p2, [x11, z26.d] C4688620 prfb pldl1keep, p1, [x17, z8.d] C4619F6C prfb pstl3keep, p7, [x27, z1.d] C47682E9 prfb pstl1strm, p0, [x23, z22.d] // prfb_i_p_bz_d_x32_scaled 110001000x1xxxxx000xxxxxxxx0xxxx C4650AEF prfb #0xf, p2, [x23, z5.d, sxtw] C4721361 prfb pldl1strm, p4, [x27, z18.d, sxtw] C4321D80 prfb pldl1keep, p7, [x12, z18.d, uxtw] C4750BA2 prfb pldl2keep, p2, [x29, z21.d, sxtw] C4221666 prfb #0x6, p5, [x19, z2.d, uxtw] C4360542 prfb pldl2keep, p1, [x10, z22.d, uxtw] C4321365 prfb pldl3strm, p4, [x27, z18.d, uxtw] C43E1425 prfb pldl3strm, p5, [x1, z30.d, uxtw] C42411CF prfb #0xf, p4, [x14, z4.d, uxtw] C47713E7 prfb #0x7, p4, [sp, z23.d, sxtw] C47F1965 prfb pldl3strm, p6, [x11, z31.d, sxtw] C43C0CE6 prfb #0x6, p3, [x7, z28.d, uxtw] C46E1E2D prfb pstl3strm, p7, [x17, z14.d, sxtw] C47F1209 prfb pstl1strm, p4, [x16, z31.d, sxtw] C4760B0B prfb pstl2strm, p2, [x24, z22.d, sxtw] C46E1E44 prfb pldl3keep, p7, [x18, z14.d, sxtw] // prfb_i_p_bz_s_x32_scaled 100001000x1xxxxx000xxxxxxxx0xxxx 84620602 prfb pldl2keep, p1, [x16, z2.s, sxtw] 842C168A prfb pstl2keep, p5, [x20, z12.s, uxtw] 843F1509 prfb pstl1strm, p5, [x8, z31.s, uxtw] 84720683 prfb pldl2strm, p1, [x20, z18.s, sxtw] 846E00E3 prfb pldl2strm, p0, [x7, z14.s, sxtw] 842B050A prfb pstl2keep, p1, [x8, z11.s, uxtw] 84650661 prfb pldl1strm, p1, [x19, z5.s, sxtw] 843E0543 prfb pldl2strm, p1, [x10, z30.s, uxtw] 84790187 prfb #0x7, p0, [x12, z25.s, sxtw] 847F104C prfb pstl3keep, p4, [x2, z31.s, sxtw] 84320B49 prfb pstl1strm, p2, [x26, z18.s, uxtw] 84380789 prfb pstl1strm, p1, [x28, z24.s, uxtw] 84291809 prfb pstl1strm, p6, [x0, z9.s, uxtw] 8465020A prfb pstl2keep, p0, [x16, z5.s, sxtw] 846E1460 prfb pldl1keep, p5, [x3, z14.s, sxtw] 84250D62 prfb pldl2keep, p3, [x11, z5.s, uxtw] // prfd_i_p_ai_d 11000101100xxxxx111xxxxxxxx0xxxx C585E6A7 prfd #0x7, p1, [z21.d, #0x28] C587FE8C prfd pstl3keep, p7, [z20.d, #0x38] C590F3AB prfd pstl2strm, p4, [z29.d, #0x80] C591FFC1 prfd pldl1strm, p7, [z30.d, #0x88] C581F183 prfd pldl2strm, p4, [z12.d, #0x8] C587E62D prfd pstl3strm, p1, [z17.d, #0x38] C58BF40D prfd pstl3strm, p5, [z0.d, #0x58] C58CEA66 prfd #0x6, p2, [z19.d, #0x60] C58FEE40 prfd pldl1keep, p3, [z18.d, #0x78] C583FB4D prfd pstl3strm, p6, [z26.d, #0x18] C593ED46 prfd #0x6, p3, [z10.d, #0x98] C59CF183 prfd pldl2strm, p4, [z12.d, #0xe0] C58DE327 prfd #0x7, p0, [z25.d, #0x68] C59FF168 prfd pstl1keep, p4, [z11.d, #0xf8] C583F66D prfd pstl3strm, p5, [z19.d, #0x18] C585FA4F prfd #0xf, p6, [z18.d, #0x28] // prfd_i_p_ai_s 10000101100xxxxx111xxxxxxxx0xxxx 8588E78D prfd pstl3strm, p1, [z28.s, #0x40] 859CF3E9 prfd pstl1strm, p4, [z31.s, #0xe0] 8590F32F prfd #0xf, p4, [z25.s, #0x80] 8595FD0A prfd pstl2keep, p7, [z8.s, #0xa8] 8596EBE4 prfd pldl3keep, p2, [z31.s, #0xb0] 858CF226 prfd #0x6, p4, [z17.s, #0x60] 8587EBC8 prfd pstl1keep, p2, [z30.s, #0x38] 858FFA2D prfd pstl3strm, p6, [z17.s, #0x78] 8593F7AA prfd pstl2keep, p5, [z29.s, #0x98] 8588F8E2 prfd pldl2keep, p6, [z7.s, #0x40] 8585FA02 prfd pldl2keep, p6, [z16.s, #0x28] 8588F22C prfd pstl3keep, p4, [z17.s, #0x40] 8585E70D prfd pstl3strm, p1, [z24.s, #0x28] 8580EDC9 prfd pstl1strm, p3, [z14.s] 858DF28C prfd pstl3keep, p4, [z20.s, #0x68] 8585F3E9 prfd pstl1strm, p4, [z31.s, #0x28] // prfd_i_p_bi_s 1000010111xxxxxx011xxxxxxxx0xxxx 85E262C9 prfd pstl1strm, p0, [x22, #-30, mul vl] 85DE6148 prfd pstl1keep, p0, [x10, #0x1e, mul vl] 85C27300 prfd pldl1keep, p4, [x24, #0x2, mul vl] 85DB6C49 prfd pstl1strm, p3, [x2, #0x1b, mul vl] 85CC6AAB prfd pstl2strm, p2, [x21, #0xc, mul vl] 85EE63A3 prfd pldl2strm, p0, [x29, #-18, mul vl] 85F66747 prfd #0x7, p1, [x26, #-10, mul vl] 85D06CE5 prfd pldl3strm, p3, [x7, #0x10, mul vl] 85DA7B6B prfd pstl2strm, p6, [x27, #0x1a, mul vl] 85C864C9 prfd pstl1strm, p1, [x6, #0x8, mul vl] 85E365AD prfd pstl3strm, p1, [x13, #-29, mul vl] 85DA76A6 prfd #0x6, p5, [x21, #0x1a, mul vl] 85C27B48 prfd pstl1keep, p6, [x26, #0x2, mul vl] 85D0716C prfd pstl3keep, p4, [x11, #0x10, mul vl] 85D36F6E prfd #0xe, p3, [x27, #0x13, mul vl] 85E162EE prfd #0xe, p0, [x23, #-31, mul vl] // prfd_i_p_br_s 10000101100xxxxx110xxxxxxxx0xxxx 8582CA28 prfd pstl1keep, p2, [x17, x2, lsl #0x3] 858BCA60 prfd pldl1keep, p2, [x19, x11, lsl #0x3] 8599C842 prfd pldl2keep, p2, [x2, x25, lsl #0x3] 859AC040 prfd pldl1keep, p0, [x2, x26, lsl #0x3] 8586D686 prfd #0x6, p5, [x20, x6, lsl #0x3] 858ADC8D prfd pstl3strm, p7, [x4, x10, lsl #0x3] 8582DBC5 prfd pldl3strm, p6, [x30, x2, lsl #0x3] 859DCA07 prfd #0x7, p2, [x16, x29, lsl #0x3] 859BD4A4 prfd pldl3keep, p5, [x5, x27, lsl #0x3] 8598C24E prfd #0xe, p0, [x18, x24, lsl #0x3] 858BD645 prfd pldl3strm, p5, [x18, x11, lsl #0x3] 8585C7CE prfd #0xe, p1, [x30, x5, lsl #0x3] 858CDDCE prfd #0xe, p7, [x14, x12, lsl #0x3] 8589DE6A prfd pstl2keep, p7, [x19, x9, lsl #0x3] 8589C2E2 prfd pldl2keep, p0, [x23, x9, lsl #0x3] 859DC380 prfd pldl1keep, p0, [x28, x29, lsl #0x3] // prfd_i_p_bz_d_64_scaled 11000100011xxxxx111xxxxxxxx0xxxx C460EDED prfd pstl3strm, p3, [x15, z0.d, lsl #0x3] C471EB00 prfd pldl1keep, p2, [x24, z17.d, lsl #0x3] C46AE70F prfd #0xf, p1, [x24, z10.d, lsl #0x3] C467E368 prfd pstl1keep, p0, [x27, z7.d, lsl #0x3] C47BEDE0 prfd pldl1keep, p3, [x15, z27.d, lsl #0x3] C47AFD0E prfd #0xe, p7, [x8, z26.d, lsl #0x3] C461F349 prfd pstl1strm, p4, [x26, z1.d, lsl #0x3] C47CE687 prfd #0x7, p1, [x20, z28.d, lsl #0x3] C470EA82 prfd pldl2keep, p2, [x20, z16.d, lsl #0x3] C460F002 prfd pldl2keep, p4, [x0, z0.d, lsl #0x3] C468E56F prfd #0xf, p1, [x11, z8.d, lsl #0x3] C47BE6CC prfd pstl3keep, p1, [x22, z27.d, lsl #0x3] C477E301 prfd pldl1strm, p0, [x24, z23.d, lsl #0x3] C461F228 prfd pstl1keep, p4, [x17, z1.d, lsl #0x3] C474E8E5 prfd pldl3strm, p2, [x7, z20.d, lsl #0x3] C46DE4C2 prfd pldl2keep, p1, [x6, z13.d, lsl #0x3] // prfd_i_p_bz_d_x32_scaled 110001000x1xxxxx011xxxxxxxx0xxxx C47E64CD prfd pstl3strm, p1, [x6, z30.d, sxtw #0x3] C4607865 prfd pldl3strm, p6, [x3, z0.d, sxtw #0x3] C42A63E0 prfd pldl1keep, p0, [sp, z10.d, uxtw #0x3] C43874A6 prfd #0x6, p5, [x5, z24.d, uxtw #0x3] C42B798D prfd pstl3strm, p6, [x12, z11.d, uxtw #0x3] C4696966 prfd #0x6, p2, [x11, z9.d, sxtw #0x3] C42C7C4C prfd pstl3keep, p7, [x2, z12.d, uxtw #0x3] C46D7C0F prfd #0xf, p7, [x0, z13.d, sxtw #0x3] C42971A9 prfd pstl1strm, p4, [x13, z9.d, uxtw #0x3] C4246203 prfd pldl2strm, p0, [x16, z4.d, uxtw #0x3] C43969C6 prfd #0x6, p2, [x14, z25.d, uxtw #0x3] C43A66AD prfd pstl3strm, p1, [x21, z26.d, uxtw #0x3] C42C7625 prfd pldl3strm, p5, [x17, z12.d, uxtw #0x3] C43574A5 prfd pldl3strm, p5, [x5, z21.d, uxtw #0x3] C4737E28 prfd pstl1keep, p7, [x17, z19.d, sxtw #0x3] C46B70A4 prfd pldl3keep, p4, [x5, z11.d, sxtw #0x3] // prfd_i_p_bz_s_x32_scaled 100001000x1xxxxx011xxxxxxxx0xxxx 846E6EE7 prfd #0x7, p3, [x23, z14.s, sxtw #0x3] 84726A4E prfd #0xe, p2, [x18, z18.s, sxtw #0x3] 8477666A prfd pstl2keep, p1, [x19, z23.s, sxtw #0x3] 843864C2 prfd pldl2keep, p1, [x6, z24.s, uxtw #0x3] 846963CE prfd #0xe, p0, [x30, z9.s, sxtw #0x3] 846665AC prfd pstl3keep, p1, [x13, z6.s, sxtw #0x3] 842674C9 prfd pstl1strm, p5, [x6, z6.s, uxtw #0x3] 846E6209 prfd pstl1strm, p0, [x16, z14.s, sxtw #0x3] 842B758E prfd #0xe, p5, [x12, z11.s, uxtw #0x3] 842A7625 prfd pldl3strm, p5, [x17, z10.s, uxtw #0x3] 847F702C prfd pstl3keep, p4, [x1, z31.s, sxtw #0x3] 842962E5 prfd pldl3strm, p0, [x23, z9.s, uxtw #0x3] 842378E3 prfd pldl2strm, p6, [x7, z3.s, uxtw #0x3] 84287FA7 prfd #0x7, p7, [x29, z8.s, uxtw #0x3] 84726FAB prfd pstl2strm, p3, [x29, z18.s, sxtw #0x3] 84386067 prfd #0x7, p0, [x3, z24.s, uxtw #0x3] // prfh_i_p_ai_d 11000100100xxxxx111xxxxxxxx0xxxx C49BF98B prfh pstl2strm, p6, [z12.d, #0x36] C493EF61 prfh pldl1strm, p3, [z27.d, #0x26] C48BE225 prfh pldl3strm, p0, [z17.d, #0x16] C49FE5AA prfh pstl2keep, p1, [z13.d, #0x3e] C492F78D prfh pstl3strm, p5, [z28.d, #0x24] C49AE929 prfh pstl1strm, p2, [z9.d, #0x34] C485FF21 prfh pldl1strm, p7, [z25.d, #0xa] C48CF2C9 prfh pstl1strm, p4, [z22.d, #0x18] C483EBC6 prfh #0x6, p2, [z30.d, #0x6] C484E9AA prfh pstl2keep, p2, [z13.d, #0x8] C498E0EF prfh #0xf, p0, [z7.d, #0x30] C492FCCA prfh pstl2keep, p7, [z6.d, #0x24] C489EDEC prfh pstl3keep, p3, [z15.d, #0x12] C486F26F prfh #0xf, p4, [z19.d, #0xc] C483FD25 prfh pldl3strm, p7, [z9.d, #0x6] C485E48A prfh pstl2keep, p1, [z4.d, #0xa] // prfh_i_p_ai_s 10000100100xxxxx111xxxxxxxx0xxxx 848DE965 prfh pldl3strm, p2, [z11.s, #0x1a] 848EF1E4 prfh pldl3keep, p4, [z15.s, #0x1c] 849CE689 prfh pstl1strm, p1, [z20.s, #0x38] 8489EE8C prfh pstl3keep, p3, [z20.s, #0x12] 8486F742 prfh pldl2keep, p5, [z26.s, #0xc] 8486F08F prfh #0xf, p4, [z4.s, #0xc] 8487F283 prfh pldl2strm, p4, [z20.s, #0xe] 849CF6E7 prfh #0x7, p5, [z23.s, #0x38] 8496F5C5 prfh pldl3strm, p5, [z14.s, #0x2c] 848DEECE prfh #0xe, p3, [z22.s, #0x1a] 848BF22C prfh pstl3keep, p4, [z17.s, #0x16] 8494F808 prfh pstl1keep, p6, [z0.s, #0x28] 848EEAE6 prfh #0x6, p2, [z23.s, #0x1c] 8490FE60 prfh pldl1keep, p7, [z19.s, #0x20] 8494FF0B prfh pstl2strm, p7, [z24.s, #0x28] 849FEDE0 prfh pldl1keep, p3, [z15.s, #0x3e] // prfh_i_p_bi_s 1000010111xxxxxx001xxxxxxxx0xxxx 85EB3F68 prfh pstl1keep, p7, [x27, #-21, mul vl] 85DE39CA prfh pstl2keep, p6, [x14, #0x1e, mul vl] 85F12501 prfh pldl1strm, p1, [x8, #-15, mul vl] 85D4284B prfh pstl2strm, p2, [x2, #0x14, mul vl] 85C02FAD prfh pstl3strm, p3, [x29] 85E23003 prfh pldl2strm, p4, [x0, #-30, mul vl] 85EC32C0 prfh pldl1keep, p4, [x22, #-20, mul vl] 85CE3747 prfh #0x7, p5, [x26, #0xe, mul vl] 85F5286C prfh pstl3keep, p2, [x3, #-11, mul vl] 85DB35E7 prfh #0x7, p5, [x15, #0x1b, mul vl] 85D42BE5 prfh pldl3strm, p2, [sp, #0x14, mul vl] 85F13C0F prfh #0xf, p7, [x0, #-15, mul vl] 85E435A6 prfh #0x6, p5, [x13, #-28, mul vl] 85FA3243 prfh pldl2strm, p4, [x18, #-6, mul vl] 85EB2523 prfh pldl2strm, p1, [x9, #-21, mul vl] 85F02989 prfh pstl1strm, p2, [x12, #-16, mul vl] // prfh_i_p_br_s 10000100100xxxxx110xxxxxxxx0xxxx 849CC488 prfh pstl1keep, p1, [x4, x28, lsl #0x1] 8489D400 prfh pldl1keep, p5, [x0, x9, lsl #0x1] 848FC129 prfh pstl1strm, p0, [x9, x15, lsl #0x1] 8483DD8A prfh pstl2keep, p7, [x12, x3, lsl #0x1] 8497D56C prfh pstl3keep, p5, [x11, x23, lsl #0x1] 8480CE88 prfh pstl1keep, p3, [x20, x0, lsl #0x1] 8483CBE2 prfh pldl2keep, p2, [sp, x3, lsl #0x1] 8480CE84 prfh pldl3keep, p3, [x20, x0, lsl #0x1] 8491C76B prfh pstl2strm, p1, [x27, x17, lsl #0x1] 8496D2E6 prfh #0x6, p4, [x23, x22, lsl #0x1] 8493C4A2 prfh pldl2keep, p1, [x5, x19, lsl #0x1] 8481CBED prfh pstl3strm, p2, [sp, x1, lsl #0x1] 849AD227 prfh #0x7, p4, [x17, x26, lsl #0x1] 8492CEA1 prfh pldl1strm, p3, [x21, x18, lsl #0x1] 8493D507 prfh #0x7, p5, [x8, x19, lsl #0x1] 8486C0A3 prfh pldl2strm, p0, [x5, x6, lsl #0x1] // prfh_i_p_bz_d_64_scaled 11000100011xxxxx101xxxxxxxx0xxxx C475B0C4 prfh pldl3keep, p4, [x6, z21.d, lsl #0x1] C464AE06 prfh #0x6, p3, [x16, z4.d, lsl #0x1] C463BBC0 prfh pldl1keep, p6, [x30, z3.d, lsl #0x1] C464AE6F prfh #0xf, p3, [x19, z4.d, lsl #0x1] C462AD49 prfh pstl1strm, p3, [x10, z2.d, lsl #0x1] C472A103 prfh pldl2strm, p0, [x8, z18.d, lsl #0x1] C473A167 prfh #0x7, p0, [x11, z19.d, lsl #0x1] C479A5E0 prfh pldl1keep, p1, [x15, z25.d, lsl #0x1] C464B942 prfh pldl2keep, p6, [x10, z4.d, lsl #0x1] C464B149 prfh pstl1strm, p4, [x10, z4.d, lsl #0x1] C46CBB8A prfh pstl2keep, p6, [x28, z12.d, lsl #0x1] C460B324 prfh pldl3keep, p4, [x25, z0.d, lsl #0x1] C463BDCA prfh pstl2keep, p7, [x14, z3.d, lsl #0x1] C474B300 prfh pldl1keep, p4, [x24, z20.d, lsl #0x1] C47BAC09 prfh pstl1strm, p3, [x0, z27.d, lsl #0x1] C47FB4A0 prfh pldl1keep, p5, [x5, z31.d, lsl #0x1] // prfh_i_p_bz_d_x32_scaled 110001000x1xxxxx001xxxxxxxx0xxxx C43D28A0 prfh pldl1keep, p2, [x5, z29.d, uxtw #0x1] C432350D prfh pstl3strm, p5, [x8, z18.d, uxtw #0x1] C46E2E80 prfh pldl1keep, p3, [x20, z14.d, sxtw #0x1] C46D372F prfh #0xf, p5, [x25, z13.d, sxtw #0x1] C46C35E9 prfh pstl1strm, p5, [x15, z12.d, sxtw #0x1] C47C3AE9 prfh pstl1strm, p6, [x23, z28.d, sxtw #0x1] C43737C4 prfh pldl3keep, p5, [x30, z23.d, uxtw #0x1] C43D2B81 prfh pldl1strm, p2, [x28, z29.d, uxtw #0x1] C4622DCC prfh pstl3keep, p3, [x14, z2.d, sxtw #0x1] C42F21CA prfh pstl2keep, p0, [x14, z15.d, uxtw #0x1] C4273B0C prfh pstl3keep, p6, [x24, z7.d, uxtw #0x1] C47D2DE6 prfh #0x6, p3, [x15, z29.d, sxtw #0x1] C46327CE prfh #0xe, p1, [x30, z3.d, sxtw #0x1] C47F25AD prfh pstl3strm, p1, [x13, z31.d, sxtw #0x1] C4322648 prfh pstl1keep, p1, [x18, z18.d, uxtw #0x1] C47E2D01 prfh pldl1strm, p3, [x8, z30.d, sxtw #0x1] // prfh_i_p_bz_s_x32_scaled 100001000x1xxxxx001xxxxxxxx0xxxx 843A2362 prfh pldl2keep, p0, [x27, z26.s, uxtw #0x1] 843A36EF prfh #0xf, p5, [x23, z26.s, uxtw #0x1] 847A2BCD prfh pstl3strm, p2, [x30, z26.s, sxtw #0x1] 843A3702 prfh pldl2keep, p5, [x24, z26.s, uxtw #0x1] 84772128 prfh pstl1keep, p0, [x9, z23.s, sxtw #0x1] 846B2C8F prfh #0xf, p3, [x4, z11.s, sxtw #0x1] 84243B8B prfh pstl2strm, p6, [x28, z4.s, uxtw #0x1] 84612E89 prfh pstl1strm, p3, [x20, z1.s, sxtw #0x1] 847D38EE prfh #0xe, p6, [x7, z29.s, sxtw #0x1] 84232C2D prfh pstl3strm, p3, [x1, z3.s, uxtw #0x1] 84742EC3 prfh pldl2strm, p3, [x22, z20.s, sxtw #0x1] 842232AF prfh #0xf, p4, [x21, z2.s, uxtw #0x1] 842E26C5 prfh pldl3strm, p1, [x22, z14.s, uxtw #0x1] 84302C25 prfh pldl3strm, p3, [x1, z16.s, uxtw #0x1] 847A24E1 prfh pldl1strm, p1, [x7, z26.s, sxtw #0x1] 8476226E prfh #0xe, p0, [x19, z22.s, sxtw #0x1] // prfw_i_p_ai_d 11000101000xxxxx111xxxxxxxx0xxxx C507F9C2 prfw pldl2keep, p6, [z14.d, #0x1c] C517FAA6 prfw #0x6, p6, [z21.d, #0x5c] C50DE6E1 prfw pldl1strm, p1, [z23.d, #0x34] C507E9CC prfw pstl3keep, p2, [z14.d, #0x1c] C51BE248 prfw pstl1keep, p0, [z18.d, #0x6c] C513E5C2 prfw pldl2keep, p1, [z14.d, #0x4c] C51DE389 prfw pstl1strm, p0, [z28.d, #0x74] C50CE8C9 prfw pstl1strm, p2, [z6.d, #0x30] C501E3C8 prfw pstl1keep, p0, [z30.d, #0x4] C518F4CD prfw pstl3strm, p5, [z6.d, #0x60] C511ED0C prfw pstl3keep, p3, [z8.d, #0x44] C511E08A prfw pstl2keep, p0, [z4.d, #0x44] C519ED47 prfw #0x7, p3, [z10.d, #0x64] C51FF941 prfw pldl1strm, p6, [z10.d, #0x7c] C505F2A2 prfw pldl2keep, p4, [z21.d, #0x14] C514FAAC prfw pstl3keep, p6, [z21.d, #0x50] // prfw_i_p_ai_s 10000101000xxxxx111xxxxxxxx0xxxx 850BE9CB prfw pstl2strm, p2, [z14.s, #0x2c] 851CED45 prfw pldl3strm, p3, [z10.s, #0x70] 8506E8EB prfw pstl2strm, p2, [z7.s, #0x18] 8507F988 prfw pstl1keep, p6, [z12.s, #0x1c] 8518E62F prfw #0xf, p1, [z17.s, #0x60] 8509F3A2 prfw pldl2keep, p4, [z29.s, #0x24] 8511EC48 prfw pstl1keep, p3, [z2.s, #0x44] 8518E5E4 prfw pldl3keep, p1, [z15.s, #0x60] 8504FC8A prfw pstl2keep, p7, [z4.s, #0x10] 851EE96D prfw pstl3strm, p2, [z11.s, #0x78] 850CFC62 prfw pldl2keep, p7, [z3.s, #0x30] 8506E427 prfw #0x7, p1, [z1.s, #0x18] 8509F9A2 prfw pldl2keep, p6, [z13.s, #0x24] 8514E78F prfw #0xf, p1, [z28.s, #0x50] 8502EF60 prfw pldl1keep, p3, [z27.s, #0x8] 8502F566 prfw #0x6, p5, [z11.s, #0x8] // prfw_i_p_bi_s 1000010111xxxxxx010xxxxxxxx0xxxx 85D05F22 prfw pldl2keep, p7, [x25, #0x10, mul vl] 85F2400E prfw #0xe, p0, [x0, #-14, mul vl] 85E04227 prfw #0x7, p0, [x17, #-32, mul vl] 85C152C6 prfw #0x6, p4, [x22, #0x1, mul vl] 85ED5C89 prfw pstl1strm, p7, [x4, #-19, mul vl] 85F05F21 prfw pldl1strm, p7, [x25, #-16, mul vl] 85E44469 prfw pstl1strm, p1, [x3, #-28, mul vl] 85C94CE2 prfw pldl2keep, p3, [x7, #0x9, mul vl] 85D95EAE prfw #0xe, p7, [x21, #0x19, mul vl] 85C44DC7 prfw #0x7, p3, [x14, #0x4, mul vl] 85C45C4B prfw pstl2strm, p7, [x2, #0x4, mul vl] 85DD5E48 prfw pstl1keep, p7, [x18, #0x1d, mul vl] 85F6462D prfw pstl3strm, p1, [x17, #-10, mul vl] 85C1552B prfw pstl2strm, p5, [x9, #0x1, mul vl] 85FC42E4 prfw pldl3keep, p0, [x23, #-4, mul vl] 85ED542C prfw pstl3keep, p5, [x1, #-19, mul vl] // prfw_i_p_br_s 10000101000xxxxx110xxxxxxxx0xxxx 8509CDE0 prfw pldl1keep, p3, [x15, x9, lsl #0x2] 851AC909 prfw pstl1strm, p2, [x8, x26, lsl #0x2] 851EDC84 prfw pldl3keep, p7, [x4, x30, lsl #0x2] 8512D825 prfw pldl3strm, p6, [x1, x18, lsl #0x2] 8518C749 prfw pstl1strm, p1, [x26, x24, lsl #0x2] 8510D92C prfw pstl3keep, p6, [x9, x16, lsl #0x2] 8507C78B prfw pstl2strm, p1, [x28, x7, lsl #0x2] 8504DB04 prfw pldl3keep, p6, [x24, x4, lsl #0x2] 8501DD47 prfw #0x7, p7, [x10, x1, lsl #0x2] 850FC942 prfw pldl2keep, p2, [x10, x15, lsl #0x2] 8517C709 prfw pstl1strm, p1, [x24, x23, lsl #0x2] 8519DBED prfw pstl3strm, p6, [sp, x25, lsl #0x2] 851CD14C prfw pstl3keep, p4, [x10, x28, lsl #0x2] 8517C565 prfw pldl3strm, p1, [x11, x23, lsl #0x2] 8506D90A prfw pstl2keep, p6, [x8, x6, lsl #0x2] 8507CCC3 prfw pldl2strm, p3, [x6, x7, lsl #0x2] // prfw_i_p_bz_d_64_scaled 11000100011xxxxx110xxxxxxxx0xxxx C463C603 prfw pldl2strm, p1, [x16, z3.d, lsl #0x2] C46FC42F prfw #0xf, p1, [x1, z15.d, lsl #0x2] C473C8E8 prfw pstl1keep, p2, [x7, z19.d, lsl #0x2] C467C44A prfw pstl2keep, p1, [x2, z7.d, lsl #0x2] C471C52E prfw #0xe, p1, [x9, z17.d, lsl #0x2] C46BD6CE prfw #0xe, p5, [x22, z11.d, lsl #0x2] C469DCC2 prfw pldl2keep, p7, [x6, z9.d, lsl #0x2] C462C162 prfw pldl2keep, p0, [x11, z2.d, lsl #0x2] C47FC547 prfw #0x7, p1, [x10, z31.d, lsl #0x2] C46ACDE7 prfw #0x7, p3, [x15, z10.d, lsl #0x2] C460CF25 prfw pldl3strm, p3, [x25, z0.d, lsl #0x2] C479C1E9 prfw pstl1strm, p0, [x15, z25.d, lsl #0x2] C461D423 prfw pldl2strm, p5, [x1, z1.d, lsl #0x2] C46FD3E5 prfw pldl3strm, p4, [sp, z15.d, lsl #0x2] C479D52E prfw #0xe, p5, [x9, z25.d, lsl #0x2] C467DF45 prfw pldl3strm, p7, [x26, z7.d, lsl #0x2] // prfw_i_p_bz_d_x32_scaled 110001000x1xxxxx010xxxxxxxx0xxxx C46445AD prfw pstl3strm, p1, [x13, z4.d, sxtw #0x2] C46E5469 prfw pstl1strm, p5, [x3, z14.d, sxtw #0x2] C47B41CC prfw pstl3keep, p0, [x14, z27.d, sxtw #0x2] C46047E6 prfw #0x6, p1, [sp, z0.d, sxtw #0x2] C46B46A2 prfw pldl2keep, p1, [x21, z11.d, sxtw #0x2] C427440D prfw pstl3strm, p1, [x0, z7.d, uxtw #0x2] C43D5E68 prfw pstl1keep, p7, [x19, z29.d, uxtw #0x2] C4265EC5 prfw pldl3strm, p7, [x22, z6.d, uxtw #0x2] C47E4969 prfw pstl1strm, p2, [x11, z30.d, sxtw #0x2] C46B5CC8 prfw pstl1keep, p7, [x6, z11.d, sxtw #0x2] C4335A6A prfw pstl2keep, p6, [x19, z19.d, uxtw #0x2] C4294A46 prfw #0x6, p2, [x18, z9.d, uxtw #0x2] C4784FEA prfw pstl2keep, p3, [sp, z24.d, sxtw #0x2] C4374600 prfw pldl1keep, p1, [x16, z23.d, uxtw #0x2] C42D4584 prfw pldl3keep, p1, [x12, z13.d, uxtw #0x2] C46B4069 prfw pstl1strm, p0, [x3, z11.d, sxtw #0x2] // prfw_i_p_bz_s_x32_scaled 100001000x1xxxxx010xxxxxxxx0xxxx 842749C7 prfw #0x7, p2, [x14, z7.s, uxtw #0x2] 843D4E24 prfw pldl3keep, p3, [x17, z29.s, uxtw #0x2] 846C5742 prfw pldl2keep, p5, [x26, z12.s, sxtw #0x2] 8429558B prfw pstl2strm, p5, [x12, z9.s, uxtw #0x2] 84334E49 prfw pstl1strm, p3, [x18, z19.s, uxtw #0x2] 846341E0 prfw pldl1keep, p0, [x15, z3.s, sxtw #0x2] 842852A6 prfw #0x6, p4, [x21, z8.s, uxtw #0x2] 842658E2 prfw pldl2keep, p6, [x7, z6.s, uxtw #0x2] 846E592D prfw pstl3strm, p6, [x9, z14.s, sxtw #0x2] 842D5A4B prfw pstl2strm, p6, [x18, z13.s, uxtw #0x2] 84355E80 prfw pldl1keep, p7, [x20, z21.s, uxtw #0x2] 84744F2B prfw pstl2strm, p3, [x25, z20.s, sxtw #0x2] 84764A23 prfw pldl2strm, p2, [x17, z22.s, sxtw #0x2] 847C5482 prfw pldl2keep, p5, [x4, z28.s, sxtw #0x2] 84224223 prfw pldl2strm, p0, [x17, z2.s, uxtw #0x2] 843A48E1 prfw pldl1strm, p2, [x7, z26.s, uxtw #0x2] // ptest_p_p_ 001001010101000011xxxx0xxxx00000 2550F940 ptest p14, p10.b 2550C0A0 ptest p0, p5.b 2550C980 ptest p2, p12.b 2550F180 ptest p12, p12.b 2550F900 ptest p14, p8.b 2550F040 ptest p12, p2.b 2550E0C0 ptest p8, p6.b 2550C940 ptest p2, p10.b 2550FC00 ptest p15, p0.b 2550FC80 ptest p15, p4.b 2550D580 ptest p5, p12.b 2550EC60 ptest p11, p3.b 2550D520 ptest p5, p9.b 2550C080 ptest p0, p4.b 2550F8C0 ptest p14, p6.b 2550C9A0 ptest p2, p13.b // ptrue_p_s_ 00100101xx011000111000xxxxx0xxxx 2558E049 ptrue p9.h, vl2 2558E2EE ptrue p14.h, #0x17 2598E0E3 ptrue p3.s, vl7 2518E060 ptrue p0.b, vl3 2518E263 ptrue p3.b, #0x13 25D8E3C9 ptrue p9.d, mul3 2598E0EC ptrue p12.s, vl7 25D8E0C9 ptrue p9.d, vl6 2558E241 ptrue p1.h, #0x12 2598E1CB ptrue p11.s, #0xe 25D8E20D ptrue p13.d, #0x10 2558E123 ptrue p3.h, vl16 2518E2AA ptrue p10.b, #0x15 25D8E065 ptrue p5.d, vl3 25D8E1A7 ptrue p7.d, vl256 2598E187 ptrue p7.s, vl128 // ptrues_p_s_ 00100101xx011001111000xxxxx0xxxx 2519E021 ptrues p1.b, vl1 2519E3CE ptrues p14.b, mul3 2519E0CC ptrues p12.b, vl6 2559E36A ptrues p10.h, #0x1b 2559E36B ptrues p11.h, #0x1b 2519E06A ptrues p10.b, vl3 2599E3E9 ptrues p9.s 2519E2E7 ptrues p7.b, #0x17 2599E10E ptrues p14.s, vl8 25D9E166 ptrues p6.d, vl64 2519E1A6 ptrues p6.b, vl256 2599E188 ptrues p8.s, vl128 2519E3E9 ptrues p9.b 2599E24D ptrues p13.s, #0x12 2559E2C0 ptrues p0.h, #0x16 25D9E323 ptrues p3.d, #0x19 // punpkhi_p_p_ 00000101001100010100000xxxx0xxxx 05314060 punpkhi p0.h, p3.b 05314081 punpkhi p1.h, p4.b 05314125 punpkhi p5.h, p9.b 053141C0 punpkhi p0.h, p14.b 053141E2 punpkhi p2.h, p15.b 053141AB punpkhi p11.h, p13.b 053141A5 punpkhi p5.h, p13.b 05314180 punpkhi p0.h, p12.b 0531400C punpkhi p12.h, p0.b 05314189 punpkhi p9.h, p12.b 05314102 punpkhi p2.h, p8.b 05314025 punpkhi p5.h, p1.b 05314009 punpkhi p9.h, p0.b 0531408E punpkhi p14.h, p4.b 05314002 punpkhi p2.h, p0.b 0531416A punpkhi p10.h, p11.b // punpklo_p_p_ 00000101001100000100000xxxx0xxxx 05304142 punpklo p2.h, p10.b 05304109 punpklo p9.h, p8.b 053041A3 punpklo p3.h, p13.b 0530408D punpklo p13.h, p4.b 053041AD punpklo p13.h, p13.b 05304063 punpklo p3.h, p3.b 0530414B punpklo p11.h, p10.b 053040E7 punpklo p7.h, p7.b 0530400C punpklo p12.h, p0.b 0530406A punpklo p10.h, p3.b 053041E8 punpklo p8.h, p15.b 05304181 punpklo p1.h, p12.b 05304182 punpklo p2.h, p12.b 05304124 punpklo p4.h, p9.b 053041A6 punpklo p6.h, p13.b 053040E2 punpklo p2.h, p7.b // rbit_z_p_z_ 00000101xx100111100xxxxxxxxxxxxx 05A792DF rbit z31.s, p4/m, z22.s 05678242 rbit z2.h, p0/m, z18.h 05E7910E rbit z14.d, p4/m, z8.d 05E784F7 rbit z23.d, p1/m, z7.d 05E798DE rbit z30.d, p6/m, z6.d 05A780BF rbit z31.s, p0/m, z5.s 05A79678 rbit z24.s, p5/m, z19.s 05E7971D rbit z29.d, p5/m, z24.d 05679337 rbit z23.h, p4/m, z25.h 05278321 rbit z1.b, p0/m, z25.b 05E79630 rbit z16.d, p5/m, z17.d 05678CD6 rbit z22.h, p3/m, z6.h 05279288 rbit z8.b, p4/m, z20.b 05278D7B rbit z27.b, p3/m, z11.b 05678066 rbit z6.h, p0/m, z3.h 05E78900 rbit z0.d, p2/m, z8.d // rdffr_p_f_ 0010010100011001111100000000xxxx 2519F00E rdffr p14.b 2519F008 rdffr p8.b 2519F00F rdffr p15.b 2519F000 rdffr p0.b 2519F009 rdffr p9.b 2519F00B rdffr p11.b 2519F00D rdffr p13.b 2519F006 rdffr p6.b 2519F004 rdffr p4.b 2519F002 rdffr p2.b 2519F00A rdffr p10.b 2519F007 rdffr p7.b 2519F005 rdffr p5.b 2519F00C rdffr p12.b 2519F001 rdffr p1.b 2519F003 rdffr p3.b // rdffr_p_p_f_ 00100101000110001111000xxxx0xxxx 2518F04A rdffr p10.b, p2/z 2518F1C1 rdffr p1.b, p14/z 2518F089 rdffr p9.b, p4/z 2518F0CB rdffr p11.b, p6/z 2518F0ED rdffr p13.b, p7/z 2518F042 rdffr p2.b, p2/z 2518F068 rdffr p8.b, p3/z 2518F109 rdffr p9.b, p8/z 2518F04E rdffr p14.b, p2/z 2518F00A rdffr p10.b, p0/z 2518F0E3 rdffr p3.b, p7/z 2518F147 rdffr p7.b, p10/z 2518F0EF rdffr p15.b, p7/z 2518F167 rdffr p7.b, p11/z 2518F063 rdffr p3.b, p3/z 2518F14A rdffr p10.b, p10/z // rdffrs_p_p_f_ 00100101010110001111000xxxx0xxxx 2558F060 rdffrs p0.b, p3/z 2558F1C8 rdffrs p8.b, p14/z 2558F16F rdffrs p15.b, p11/z 2558F166 rdffrs p6.b, p11/z 2558F0C7 rdffrs p7.b, p6/z 2558F1E2 rdffrs p2.b, p15/z 2558F1C7 rdffrs p7.b, p14/z 2558F06E rdffrs p14.b, p3/z 2558F02C rdffrs p12.b, p1/z 2558F0E6 rdffrs p6.b, p7/z 2558F1AD rdffrs p13.b, p13/z 2558F1A8 rdffrs p8.b, p13/z 2558F1C6 rdffrs p6.b, p14/z 2558F0C0 rdffrs p0.b, p6/z 2558F0C1 rdffrs p1.b, p6/z 2558F023 rdffrs p3.b, p1/z // rdvl_r_i_ 000001001011111101010xxxxxxxxxxx 04BF52F6 rdvl x22, #0x17 04BF5107 rdvl x7, #0x8 04BF51CC rdvl x12, #0xe 04BF503A rdvl x26, #0x1 04BF54D5 rdvl x21, #-26 04BF561E rdvl x30, #-16 04BF5774 rdvl x20, #-5 04BF5707 rdvl x7, #-8 04BF5793 rdvl x19, #-4 04BF56DE rdvl x30, #-10 04BF513C rdvl x28, #0x9 04BF5686 rdvl x6, #-12 04BF55F1 rdvl x17, #-17 04BF548E rdvl x14, #-28 04BF5543 rdvl x3, #-22 04BF53F8 rdvl x24, #0x1f // rev_p_p_ 00000101xx1101000100000xxxx0xxxx 05B44101 rev p1.s, p8.s 05F4416F rev p15.d, p11.d 05B441CC rev p12.s, p14.s 05F44085 rev p5.d, p4.d 05B4400A rev p10.s, p0.s 05B44149 rev p9.s, p10.s 05344104 rev p4.b, p8.b 05744022 rev p2.h, p1.h 05344105 rev p5.b, p8.b 05B440AD rev p13.s, p5.s 05344041 rev p1.b, p2.b 05744143 rev p3.h, p10.h 05344142 rev p2.b, p10.b 0574410B rev p11.h, p8.h 05344049 rev p9.b, p2.b 05F44041 rev p1.d, p2.d // rev_z_z_ 00000101xx111000001110xxxxxxxxxx 05F83B9B rev z27.d, z28.d 0578398F rev z15.h, z12.h 05783A19 rev z25.h, z16.h 05B83B73 rev z19.s, z27.s 05383B77 rev z23.b, z27.b 05B83B50 rev z16.s, z26.s 05B83B36 rev z22.s, z25.s 053838E1 rev z1.b, z7.b 05383833 rev z19.b, z1.b 05F83A38 rev z24.d, z17.d 05F83A0A rev z10.d, z16.d 0578395A rev z26.h, z10.h 05383B89 rev z9.b, z28.b 05B83ACE rev z14.s, z22.s 05F83A77 rev z23.d, z19.d 05F8381B rev z27.d, z0.d // revb_z_z_ 00000101xx100100100xxxxxxxxxxxxx 05E49E31 revb z17.d, p7/m, z17.d 05E49F7C revb z28.d, p7/m, z27.d 05649E45 revb z5.h, p7/m, z18.h 05E48C61 revb z1.d, p3/m, z3.d 05E48F34 revb z20.d, p3/m, z25.d 05E48835 revb z21.d, p2/m, z1.d 05E48205 revb z5.d, p0/m, z16.d 05E4879E revb z30.d, p1/m, z28.d 056497DB revb z27.h, p5/m, z30.h 05648653 revb z19.h, p1/m, z18.h 05E49974 revb z20.d, p6/m, z11.d 05E48B9F revb z31.d, p2/m, z28.d 05E49F23 revb z3.d, p7/m, z25.d 0564935E revb z30.h, p4/m, z26.h 05E49DBD revb z29.d, p7/m, z13.d 056498C0 revb z0.h, p6/m, z6.h // revh_z_z_ 000001011x100101100xxxxxxxxxxxxx 05A58CC7 revh z7.s, p3/m, z6.s 05A58D8D revh z13.s, p3/m, z12.s 05E59D8C revh z12.d, p7/m, z12.d 05A58550 revh z16.s, p1/m, z10.s 05A5983C revh z28.s, p6/m, z1.s 05E583A8 revh z8.d, p0/m, z29.d 05E59D9C revh z28.d, p7/m, z12.d 05A58CF0 revh z16.s, p3/m, z7.s 05A581BF revh z31.s, p0/m, z13.s 05A59E43 revh z3.s, p7/m, z18.s 05A5919C revh z28.s, p4/m, z12.s 05A593AB revh z11.s, p4/m, z29.s 05A58E2B revh z11.s, p3/m, z17.s 05A58CDE revh z30.s, p3/m, z6.s 05E5926C revh z12.d, p4/m, z19.d 05E5901D revh z29.d, p4/m, z0.d // revw_z_z_ 0000010111100110100xxxxxxxxxxxxx 05E68723 revw z3.d, p1/m, z25.d 05E69D9D revw z29.d, p7/m, z12.d 05E69D7E revw z30.d, p7/m, z11.d 05E695A2 revw z2.d, p5/m, z13.d 05E68B7D revw z29.d, p2/m, z27.d 05E68065 revw z5.d, p0/m, z3.d 05E695FF revw z31.d, p5/m, z15.d 05E681C5 revw z5.d, p0/m, z14.d 05E6937A revw z26.d, p4/m, z27.d 05E6807C revw z28.d, p0/m, z3.d 05E68EC4 revw z4.d, p3/m, z22.d 05E6992E revw z14.d, p6/m, z9.d 05E6931F revw z31.d, p4/m, z24.d 05E69DA9 revw z9.d, p7/m, z13.d 05E698F0 revw z16.d, p6/m, z7.d 05E68B49 revw z9.d, p2/m, z26.d // sabd_z_p_zz_ 00000100xx001100000xxxxxxxxxxxxx 04CC08BB sabd z27.d, p2/m, z27.d, z5.d 044C134A sabd z10.h, p4/m, z10.h, z26.h 040C0708 sabd z8.b, p1/m, z8.b, z24.b 048C077A sabd z26.s, p1/m, z26.s, z27.s 044C0739 sabd z25.h, p1/m, z25.h, z25.h 044C15F5 sabd z21.h, p5/m, z21.h, z15.h 044C188E sabd z14.h, p6/m, z14.h, z4.h 048C182C sabd z12.s, p6/m, z12.s, z1.s 040C15F7 sabd z23.b, p5/m, z23.b, z15.b 044C14CF sabd z15.h, p5/m, z15.h, z6.h 04CC17F3 sabd z19.d, p5/m, z19.d, z31.d 04CC01BC sabd z28.d, p0/m, z28.d, z13.d 04CC0550 sabd z16.d, p1/m, z16.d, z10.d 040C064D sabd z13.b, p1/m, z13.b, z18.b 048C1AB4 sabd z20.s, p6/m, z20.s, z21.s 040C1C41 sabd z1.b, p7/m, z1.b, z2.b // saddv_r_p_z_ 00000100xx000000001xxxxxxxxxxxxx 04803962 saddv d2, p6, z11.s 04003119 saddv d25, p4, z8.b 04002414 saddv d20, p1, z0.b 04802539 saddv d25, p1, z9.s 04003B6D saddv d13, p6, z27.b 04802413 saddv d19, p1, z0.s 04802B28 saddv d8, p2, z25.s 04402377 saddv d23, p0, z27.h 04802601 saddv d1, p1, z16.s 0480307B saddv d27, p4, z3.s 04803409 saddv d9, p5, z0.s 048035D3 saddv d19, p5, z14.s 04403829 saddv d9, p6, z1.h 04402CF1 saddv d17, p3, z7.h 0440293D saddv d29, p2, z9.h 044028D4 saddv d20, p2, z6.h // scvtf_z_p_z_h2fp16 0110010101010010101xxxxxxxxxxxxx 6552A8E0 scvtf z0.h, p2/m, z7.h 6552B1C3 scvtf z3.h, p4/m, z14.h 6552A4B9 scvtf z25.h, p1/m, z5.h 6552AA46 scvtf z6.h, p2/m, z18.h 6552AF19 scvtf z25.h, p3/m, z24.h 6552AF0D scvtf z13.h, p3/m, z24.h 6552BA0D scvtf z13.h, p6/m, z16.h 6552BCCD scvtf z13.h, p7/m, z6.h 6552BDA1 scvtf z1.h, p7/m, z13.h 6552B26E scvtf z14.h, p4/m, z19.h 6552B133 scvtf z19.h, p4/m, z9.h 6552B96D scvtf z13.h, p6/m, z11.h 6552AFFF scvtf z31.h, p3/m, z31.h 6552B3C2 scvtf z2.h, p4/m, z30.h 6552A983 scvtf z3.h, p2/m, z12.h 6552BEC1 scvtf z1.h, p7/m, z22.h // scvtf_z_p_z_w2d 0110010111010000101xxxxxxxxxxxxx 65D0B7A0 scvtf z0.d, p5/m, z29.s 65D0BBE2 scvtf z2.d, p6/m, z31.s 65D0A7B9 scvtf z25.d, p1/m, z29.s 65D0AF01 scvtf z1.d, p3/m, z24.s 65D0A3B2 scvtf z18.d, p0/m, z29.s 65D0A6E0 scvtf z0.d, p1/m, z23.s 65D0A9C6 scvtf z6.d, p2/m, z14.s 65D0A0F7 scvtf z23.d, p0/m, z7.s 65D0A7BB scvtf z27.d, p1/m, z29.s 65D0A8D3 scvtf z19.d, p2/m, z6.s 65D0B4D9 scvtf z25.d, p5/m, z6.s 65D0B81E scvtf z30.d, p6/m, z0.s 65D0A96C scvtf z12.d, p2/m, z11.s 65D0A5E9 scvtf z9.d, p1/m, z15.s 65D0A15B scvtf z27.d, p0/m, z10.s 65D0B625 scvtf z5.d, p5/m, z17.s // scvtf_z_p_z_w2fp16 0110010101010100101xxxxxxxxxxxxx 6554AE87 scvtf z7.h, p3/m, z20.s 6554B083 scvtf z3.h, p4/m, z4.s 6554BABD scvtf z29.h, p6/m, z21.s 6554B17E scvtf z30.h, p4/m, z11.s 6554A6F7 scvtf z23.h, p1/m, z23.s 6554B6E0 scvtf z0.h, p5/m, z23.s 6554B0FC scvtf z28.h, p4/m, z7.s 6554BED1 scvtf z17.h, p7/m, z22.s 6554AE9A scvtf z26.h, p3/m, z20.s 6554AF1E scvtf z30.h, p3/m, z24.s 6554B20F scvtf z15.h, p4/m, z16.s 6554B56B scvtf z11.h, p5/m, z11.s 6554BC62 scvtf z2.h, p7/m, z3.s 6554ACD3 scvtf z19.h, p3/m, z6.s 6554A29B scvtf z27.h, p0/m, z20.s 6554A2AB scvtf z11.h, p0/m, z21.s // scvtf_z_p_z_w2s 0110010110010100101xxxxxxxxxxxxx 6594B1AF scvtf z15.s, p4/m, z13.s 6594BB24 scvtf z4.s, p6/m, z25.s 6594BA32 scvtf z18.s, p6/m, z17.s 6594B364 scvtf z4.s, p4/m, z27.s 6594BF65 scvtf z5.s, p7/m, z27.s 6594BFA5 scvtf z5.s, p7/m, z29.s 6594A217 scvtf z23.s, p0/m, z16.s 6594AAD3 scvtf z19.s, p2/m, z22.s 6594A8DB scvtf z27.s, p2/m, z6.s 6594A9F3 scvtf z19.s, p2/m, z15.s 6594A41A scvtf z26.s, p1/m, z0.s 6594A0B3 scvtf z19.s, p0/m, z5.s 6594BE59 scvtf z25.s, p7/m, z18.s 6594AD2D scvtf z13.s, p3/m, z9.s 6594BDF6 scvtf z22.s, p7/m, z15.s 6594A19B scvtf z27.s, p0/m, z12.s // scvtf_z_p_z_x2d 0110010111010110101xxxxxxxxxxxxx 65D6A2D1 scvtf z17.d, p0/m, z22.d 65D6B7E5 scvtf z5.d, p5/m, z31.d 65D6AAB7 scvtf z23.d, p2/m, z21.d 65D6B4A1 scvtf z1.d, p5/m, z5.d 65D6BB8C scvtf z12.d, p6/m, z28.d 65D6A4A0 scvtf z0.d, p1/m, z5.d 65D6B03B scvtf z27.d, p4/m, z1.d 65D6AE41 scvtf z1.d, p3/m, z18.d 65D6B512 scvtf z18.d, p5/m, z8.d 65D6A27B scvtf z27.d, p0/m, z19.d 65D6AB5C scvtf z28.d, p2/m, z26.d 65D6AC3E scvtf z30.d, p3/m, z1.d 65D6B456 scvtf z22.d, p5/m, z2.d 65D6BB9E scvtf z30.d, p6/m, z28.d 65D6A33C scvtf z28.d, p0/m, z25.d 65D6A6C8 scvtf z8.d, p1/m, z22.d // scvtf_z_p_z_x2fp16 0110010101010110101xxxxxxxxxxxxx 6556B2DE scvtf z30.h, p4/m, z22.d 6556B02F scvtf z15.h, p4/m, z1.d 6556B26A scvtf z10.h, p4/m, z19.d 6556B381 scvtf z1.h, p4/m, z28.d 6556B2A4 scvtf z4.h, p4/m, z21.d 6556A18B scvtf z11.h, p0/m, z12.d 6556AD6B scvtf z11.h, p3/m, z11.d 6556B9F5 scvtf z21.h, p6/m, z15.d 6556AAA3 scvtf z3.h, p2/m, z21.d 6556ABF7 scvtf z23.h, p2/m, z31.d 6556B095 scvtf z21.h, p4/m, z4.d 6556AB3B scvtf z27.h, p2/m, z25.d 6556A995 scvtf z21.h, p2/m, z12.d 6556ABB0 scvtf z16.h, p2/m, z29.d 6556AD9F scvtf z31.h, p3/m, z12.d 6556B6C0 scvtf z0.h, p5/m, z22.d // scvtf_z_p_z_x2s 0110010111010100101xxxxxxxxxxxxx 65D4AB99 scvtf z25.s, p2/m, z28.d 65D4B38A scvtf z10.s, p4/m, z28.d 65D4B158 scvtf z24.s, p4/m, z10.d 65D4B64F scvtf z15.s, p5/m, z18.d 65D4A1A2 scvtf z2.s, p0/m, z13.d 65D4ADC4 scvtf z4.s, p3/m, z14.d 65D4A979 scvtf z25.s, p2/m, z11.d 65D4BFED scvtf z13.s, p7/m, z31.d 65D4BE03 scvtf z3.s, p7/m, z16.d 65D4B7FE scvtf z30.s, p5/m, z31.d 65D4AE6A scvtf z10.s, p3/m, z19.d 65D4A8F8 scvtf z24.s, p2/m, z7.d 65D4ACFB scvtf z27.s, p3/m, z7.d 65D4B4EA scvtf z10.s, p5/m, z7.d 65D4B1BD scvtf z29.s, p4/m, z13.d 65D4BBA9 scvtf z9.s, p6/m, z29.d // sdiv_z_p_zz_ 000001001x010100000xxxxxxxxxxxxx 04941FE8 sdiv z8.s, p7/m, z8.s, z31.s 04D40380 sdiv z0.d, p0/m, z0.d, z28.d 04941B75 sdiv z21.s, p6/m, z21.s, z27.s 04D41AB3 sdiv z19.d, p6/m, z19.d, z21.d 04D401E5 sdiv z5.d, p0/m, z5.d, z15.d 04940B35 sdiv z21.s, p2/m, z21.s, z25.s 04D40703 sdiv z3.d, p1/m, z3.d, z24.d 04940A97 sdiv z23.s, p2/m, z23.s, z20.s 0494054F sdiv z15.s, p1/m, z15.s, z10.s 04D403ED sdiv z13.d, p0/m, z13.d, z31.d 04D401C3 sdiv z3.d, p0/m, z3.d, z14.d 04D40506 sdiv z6.d, p1/m, z6.d, z8.d 049415C5 sdiv z5.s, p5/m, z5.s, z14.s 04D41193 sdiv z19.d, p4/m, z19.d, z12.d 04940821 sdiv z1.s, p2/m, z1.s, z1.s 04D408BC sdiv z28.d, p2/m, z28.d, z5.d // sdivr_z_p_zz_ 000001001x010110000xxxxxxxxxxxxx 04960B53 sdivr z19.s, p2/m, z19.s, z26.s 04D6167B sdivr z27.d, p5/m, z27.d, z19.d 04960331 sdivr z17.s, p0/m, z17.s, z25.s 04D61FF6 sdivr z22.d, p7/m, z22.d, z31.d 04D61D97 sdivr z23.d, p7/m, z23.d, z12.d 04D602A5 sdivr z5.d, p0/m, z5.d, z21.d 04D600B2 sdivr z18.d, p0/m, z18.d, z5.d 04D61A40 sdivr z0.d, p6/m, z0.d, z18.d 04D60138 sdivr z24.d, p0/m, z24.d, z9.d 04D60712 sdivr z18.d, p1/m, z18.d, z24.d 04961D3B sdivr z27.s, p7/m, z27.s, z9.s 04D60BA0 sdivr z0.d, p2/m, z0.d, z29.d 0496111A sdivr z26.s, p4/m, z26.s, z8.s 04961FCE sdivr z14.s, p7/m, z14.s, z30.s 04D604E2 sdivr z2.d, p1/m, z2.d, z7.d 04961870 sdivr z16.s, p6/m, z16.s, z3.s // sdot_z_zzz_ 010001001x0xxxxx000000xxxxxxxxxx 44CB0330 sdot z16.d, z25.h, z11.h 44D5014D sdot z13.d, z10.h, z21.h 4492003B sdot z27.s, z1.b, z18.b 44C70381 sdot z1.d, z28.h, z7.h 44820358 sdot z24.s, z26.b, z2.b 449C03F4 sdot z20.s, z31.b, z28.b 44830178 sdot z24.s, z11.b, z3.b 44CA0281 sdot z1.d, z20.h, z10.h 44C10210 sdot z16.d, z16.h, z1.h 448800BB sdot z27.s, z5.b, z8.b 44920008 sdot z8.s, z0.b, z18.b 44920397 sdot z23.s, z28.b, z18.b 44870290 sdot z16.s, z20.b, z7.b 449103D4 sdot z20.s, z30.b, z17.b 448A01DE sdot z30.s, z14.b, z10.b 449100EB sdot z11.s, z7.b, z17.b // sdot_z_zzzi_d 01000100111xxxxx000000xxxxxxxxxx 44F900F3 sdot z19.d, z7.h, z9.h[1] 44F6030A sdot z10.d, z24.h, z6.h[1] 44EC01C4 sdot z4.d, z14.h, z12.h[0] 44F002D4 sdot z20.d, z22.h, z0.h[1] 44F1028C sdot z12.d, z20.h, z1.h[1] 44E90030 sdot z16.d, z1.h, z9.h[0] 44F0010E sdot z14.d, z8.h, z0.h[1] 44E60224 sdot z4.d, z17.h, z6.h[0] 44F7038C sdot z12.d, z28.h, z7.h[1] 44E203AA sdot z10.d, z29.h, z2.h[0] 44F60267 sdot z7.d, z19.h, z6.h[1] 44E3015C sdot z28.d, z10.h, z3.h[0] 44F603C7 sdot z7.d, z30.h, z6.h[1] 44F2026D sdot z13.d, z19.h, z2.h[1] 44F702FE sdot z30.d, z23.h, z7.h[1] 44FC029F sdot z31.d, z20.h, z12.h[1] // sdot_z_zzzi_s 01000100101xxxxx000000xxxxxxxxxx 44B201BF sdot z31.s, z13.b, z2.b[2] 44A501D1 sdot z17.s, z14.b, z5.b[0] 44B2020B sdot z11.s, z16.b, z2.b[2] 44B70255 sdot z21.s, z18.b, z7.b[2] 44AE01E9 sdot z9.s, z15.b, z6.b[1] 44A30379 sdot z25.s, z27.b, z3.b[0] 44B603AB sdot z11.s, z29.b, z6.b[2] 44B5030B sdot z11.s, z24.b, z5.b[2] 44BD00B5 sdot z21.s, z5.b, z5.b[3] 44AF0384 sdot z4.s, z28.b, z7.b[1] 44AF02B1 sdot z17.s, z21.b, z7.b[1] 44AE007B sdot z27.s, z3.b, z6.b[1] 44AB0013 sdot z19.s, z0.b, z3.b[1] 44AD03A8 sdot z8.s, z29.b, z5.b[1] 44AF0074 sdot z20.s, z3.b, z7.b[1] 44BC0323 sdot z3.s, z25.b, z4.b[3] // sel_p_p_pp_ 001001010000xxxx01xxxx1xxxxxxxxx 25025FD9 sel p9.b, p7, p14.b, p2.b 250E6ED8 sel p8.b, p11, p6.b, p14.b 250B623E sel p14.b, p8, p1.b, p11.b 250D4F52 sel p2.b, p3, p10.b, p13.b 250B5A72 sel p2.b, p6, p3.b, p11.b 250E425C sel p12.b, p0, p2.b, p14.b 250A66B4 sel p4.b, p9, p5.b, p10.b 250A4AF1 sel p1.b, p2, p7.b, p10.b 250656D0 sel p0.b, p5, p6.b, p6.b 25077BBD sel p13.b, p14, p13.b, p7.b 250B5678 sel p8.b, p5, p3.b, p11.b 25006F51 sel p1.b, p11, p10.b, p0.b 25077E7D sel p13.b, p15, p3.b, p7.b 250A433B sel p11.b, p0, p9.b, p10.b 25096FB1 sel p1.b, p11, p13.b, p9.b 25036614 sel p4.b, p9, p0.b, p3.b // sel_z_p_zz_ 00000101xx1xxxxx11xxxxxxxxxxxxxx 05B7F912 sel z18.s, p14, z8.s, z23.s 052AD5B8 sel z24.b, p5, z13.b, z10.b 05A3D316 sel z22.s, p4, z24.s, z3.s 0527CA9E sel z30.b, p2, z20.b, z7.b 0526CA35 sel z21.b, p2, z17.b, z6.b 05E9E017 sel z23.d, p8, z0.d, z9.d 0520E2BE sel z30.b, p8, z21.b, z0.b 05BAEA74 sel z20.s, p10, z19.s, z26.s 05A0D630 sel z16.s, p5, z17.s, z0.s 05FDE675 sel z21.d, p9, z19.d, z29.d 05FEEED4 sel z20.d, p11, z22.d, z30.d 05E6C771 sel z17.d, p1, z27.d, z6.d 0530D0AF sel z15.b, p4, z5.b, z16.b 053CC375 sel z21.b, p0, z27.b, z28.b 0537FA50 sel z16.b, p14, z18.b, z23.b 05AFC527 sel z7.s, p1, z9.s, z15.s // setffr_f_ 00100101001011001001000000000000 252C9000 setffr // smax_z_p_zz_ 00000100xx001000000xxxxxxxxxxxxx 04081634 smax z20.b, p5/m, z20.b, z17.b 04C808E9 smax z9.d, p2/m, z9.d, z7.d 04080F8B smax z11.b, p3/m, z11.b, z28.b 04481374 smax z20.h, p4/m, z20.h, z27.h 04C8192A smax z10.d, p6/m, z10.d, z9.d 040802E1 smax z1.b, p0/m, z1.b, z23.b 04C81100 smax z0.d, p4/m, z0.d, z8.d 04C8034F smax z15.d, p0/m, z15.d, z26.d 04C8012D smax z13.d, p0/m, z13.d, z9.d 04480A77 smax z23.h, p2/m, z23.h, z19.h 04881A07 smax z7.s, p6/m, z7.s, z16.s 04080BA3 smax z3.b, p2/m, z3.b, z29.b 04C8040E smax z14.d, p1/m, z14.d, z0.d 04C81F08 smax z8.d, p7/m, z8.d, z24.d 04C81744 smax z4.d, p5/m, z4.d, z26.d 04881E40 smax z0.s, p7/m, z0.s, z18.s // smax_z_zi_ 00100101xx101000110xxxxxxxxxxxxx 25E8C4F6 smax z22.d, z22.d, #0x27 25E8C99A smax z26.d, z26.d, #0x4c 25A8D79C smax z28.s, z28.s, #-68 25E8DFC7 smax z7.d, z7.d, #-2 25A8CABC smax z28.s, z28.s, #0x55 25A8C841 smax z1.s, z1.s, #0x42 2568DF13 smax z19.h, z19.h, #-8 25A8D4AB smax z11.s, z11.s, #-91 2568CEBB smax z27.h, z27.h, #0x75 25A8C2C6 smax z6.s, z6.s, #0x16 25A8D358 smax z24.s, z24.s, #-102 25A8D250 smax z16.s, z16.s, #-110 2528C4FE smax z30.b, z30.b, #0x27 2528D9AB smax z11.b, z11.b, #-51 25A8DBC7 smax z7.s, z7.s, #-34 25E8CC6D smax z13.d, z13.d, #0x63 // smaxv_r_p_z_ 00000100xx001000001xxxxxxxxxxxxx 04083307 smaxv b7, p4, z24.b 04882C7A smaxv s26, p3, z3.s 048822C4 smaxv s4, p0, z22.s 0448386D smaxv h13, p6, z3.h 04C82583 smaxv d3, p1, z12.d 04482A13 smaxv h19, p2, z16.h 04882F57 smaxv s23, p3, z26.s 04482CDC smaxv h28, p3, z6.h 044831E2 smaxv h2, p4, z15.h 04483D1A smaxv h26, p7, z8.h 04882CDD smaxv s29, p3, z6.s 04C820C5 smaxv d5, p0, z6.d 04C828AA smaxv d10, p2, z5.d 04482C94 smaxv h20, p3, z4.h 04482389 smaxv h9, p0, z28.h 04883654 smaxv s20, p5, z18.s // smin_z_p_zz_ 00000100xx001010000xxxxxxxxxxxxx 048A0175 smin z21.s, p0/m, z21.s, z11.s 040A1FB5 smin z21.b, p7/m, z21.b, z29.b 04CA13C7 smin z7.d, p4/m, z7.d, z30.d 040A0A29 smin z9.b, p2/m, z9.b, z17.b 048A0901 smin z1.s, p2/m, z1.s, z8.s 048A0187 smin z7.s, p0/m, z7.s, z12.s 040A1931 smin z17.b, p6/m, z17.b, z9.b 048A0688 smin z8.s, p1/m, z8.s, z20.s 040A024C smin z12.b, p0/m, z12.b, z18.b 044A0784 smin z4.h, p1/m, z4.h, z28.h 044A118A smin z10.h, p4/m, z10.h, z12.h 04CA1658 smin z24.d, p5/m, z24.d, z18.d 048A0D96 smin z22.s, p3/m, z22.s, z12.s 044A1173 smin z19.h, p4/m, z19.h, z11.h 044A0185 smin z5.h, p0/m, z5.h, z12.h 044A01AE smin z14.h, p0/m, z14.h, z13.h // smin_z_zi_ 00100101xx101010110xxxxxxxxxxxxx 25AAD504 smin z4.s, z4.s, #-88 25EADD38 smin z24.d, z24.d, #-23 256ADCE3 smin z3.h, z3.h, #-25 252AD928 smin z8.b, z8.b, #-55 25AACE49 smin z9.s, z9.s, #0x72 256AD9B4 smin z20.h, z20.h, #-51 25EAC5BF smin z31.d, z31.d, #0x2d 25EACDF0 smin z16.d, z16.d, #0x6f 256AD4BF smin z31.h, z31.h, #-91 25AAC41C smin z28.s, z28.s, #0x20 25EAD85A smin z26.d, z26.d, #-62 256AD7B5 smin z21.h, z21.h, #-67 25EAD11A smin z26.d, z26.d, #-120 256ADB30 smin z16.h, z16.h, #-39 25EAC58F smin z15.d, z15.d, #0x2c 25EAC3B5 smin z21.d, z21.d, #0x1d // sminv_r_p_z_ 00000100xx001010001xxxxxxxxxxxxx 040A2893 sminv b19, p2, z4.b 04CA30DB sminv d27, p4, z6.d 048A2C68 sminv s8, p3, z3.s 048A3DBB sminv s27, p7, z13.s 040A304B sminv b11, p4, z2.b 044A2E76 sminv h22, p3, z19.h 040A2604 sminv b4, p1, z16.b 048A2EE2 sminv s2, p3, z23.s 048A2A21 sminv s1, p2, z17.s 044A3122 sminv h2, p4, z9.h 040A3D39 sminv b25, p7, z9.b 040A2447 sminv b7, p1, z2.b 04CA38B5 sminv d21, p6, z5.d 048A36C5 sminv s5, p5, z22.s 048A299C sminv s28, p2, z12.s 040A26E8 sminv b8, p1, z23.b // smmla_z_zzz_ 01000101000xxxxx100110xxxxxxxxxx // smulh_z_p_zz_ 00000100xx010010000xxxxxxxxxxxxx 04D209D3 smulh z19.d, p2/m, z19.d, z14.d 04D20A3F smulh z31.d, p2/m, z31.d, z17.d 049213BF smulh z31.s, p4/m, z31.s, z29.s 0452074D smulh z13.h, p1/m, z13.h, z26.h 04120999 smulh z25.b, p2/m, z25.b, z12.b 04120418 smulh z24.b, p1/m, z24.b, z0.b 045213C6 smulh z6.h, p4/m, z6.h, z30.h 0412117B smulh z27.b, p4/m, z27.b, z11.b 04921330 smulh z16.s, p4/m, z16.s, z25.s 04D20575 smulh z21.d, p1/m, z21.d, z11.d 04121AA9 smulh z9.b, p6/m, z9.b, z21.b 049206D2 smulh z18.s, p1/m, z18.s, z22.s 04D2109D smulh z29.d, p4/m, z29.d, z4.d 04520B51 smulh z17.h, p2/m, z17.h, z26.h 04521924 smulh z4.h, p6/m, z4.h, z9.h 0412037A smulh z26.b, p0/m, z26.b, z27.b // splice_z_p_zz_des 00000101xx101100100xxxxxxxxxxxxx 056C9CBE splice z30.h, p7, z30.h, z5.h 056C8A63 splice z3.h, p2, z3.h, z19.h 052C98D1 splice z17.b, p6, z17.b, z6.b 05AC9232 splice z18.s, p4, z18.s, z17.s 052C93ED splice z13.b, p4, z13.b, z31.b 05AC8D2A splice z10.s, p3, z10.s, z9.s 05AC83E0 splice z0.s, p0, z0.s, z31.s 052C9009 splice z9.b, p4, z9.b, z0.b 05AC95A0 splice z0.s, p5, z0.s, z13.s 052C8B56 splice z22.b, p2, z22.b, z26.b 056C8E22 splice z2.h, p3, z2.h, z17.h 05EC87F5 splice z21.d, p1, z21.d, z31.d 05AC8195 splice z21.s, p0, z21.s, z12.s 05AC9F1C splice z28.s, p7, z28.s, z24.s 056C85F1 splice z17.h, p1, z17.h, z15.h 05EC8A0C splice z12.d, p2, z12.d, z16.d // sqadd_z_zi_ 00100101xx10010011xxxxxxxxxxxxxx 25A4F99F sqadd z31.s, z31.s, #0xcc00 25A4EE88 sqadd z8.s, z8.s, #0x7400 25A4C64C sqadd z12.s, z12.s, #0x32 2564C3AA sqadd z10.h, z10.h, #0x1d 25E4C3F8 sqadd z24.d, z24.d, #0x1f 25A4FEBE sqadd z30.s, z30.s, #0xf500 2564D7A9 sqadd z9.h, z9.h, #0xbd 25E4ED70 sqadd z16.d, z16.d, #0x6b00 2564DD63 sqadd z3.h, z3.h, #0xeb 2564F86C sqadd z12.h, z12.h, #0xc300 2564FD90 sqadd z16.h, z16.h, #0xec00 25E4F710 sqadd z16.d, z16.d, #0xb800 25E4C311 sqadd z17.d, z17.d, #0x18 25E4D764 sqadd z4.d, z4.d, #0xbb 25E4EB7A sqadd z26.d, z26.d, #0x5b00 25E4EA01 sqadd z1.d, z1.d, #0x5000 // sqadd_z_zz_ 00000100xx1xxxxx000100xxxxxxxxxx 04751130 sqadd z16.h, z9.h, z21.h 04A8120F sqadd z15.s, z16.s, z8.s 046A1365 sqadd z5.h, z27.h, z10.h 04F31346 sqadd z6.d, z26.d, z19.d 0460105A sqadd z26.h, z2.h, z0.h 042F1191 sqadd z17.b, z12.b, z15.b 04AF13FE sqadd z30.s, z31.s, z15.s 042A128C sqadd z12.b, z20.b, z10.b 04FC10AB sqadd z11.d, z5.d, z28.d 04AD130D sqadd z13.s, z24.s, z13.s 04F210C5 sqadd z5.d, z6.d, z18.d 04791216 sqadd z22.h, z16.h, z25.h 043A10B6 sqadd z22.b, z5.b, z26.b 04BA11C4 sqadd z4.s, z14.s, z26.s 04AE10EB sqadd z11.s, z7.s, z14.s 04E410BE sqadd z30.d, z5.d, z4.d // sqdecb_r_rs_sx 000001000010xxxx111110xxxxxxxxxx 0425FBD1 sqdecb x17, w17, mul3, mul #0x6 042CF9A2 sqdecb x2, w2, vl256, mul #0xd 0421F8ED sqdecb x13, w13, vl7, mul #0x2 042BFB75 sqdecb x21, w21, #0x1b, mul #0xc 0422FAB1 sqdecb x17, w17, #0x15, mul #0x3 0421FA6A sqdecb x10, w10, #0x13, mul #0x2 042BFA2B sqdecb x11, w11, #0x11, mul #0xc 042FF8AB sqdecb x11, w11, vl5, mul #0x10 042BF8AA sqdecb x10, w10, vl5, mul #0xc 042EFB33 sqdecb x19, w19, #0x19, mul #0xf 0421F86F sqdecb x15, w15, vl3, mul #0x2 0420FAC2 sqdecb x2, w2, #0x16 042FFA97 sqdecb x23, w23, #0x14, mul #0x10 042CFAD8 sqdecb x24, w24, #0x16, mul #0xd 042BFBA4 sqdecb x4, w4, mul4, mul #0xc 042EFA28 sqdecb x8, w8, #0x11, mul #0xf // sqdecb_r_rs_x 000001000011xxxx111110xxxxxxxxxx 0432F89F sqdecb xzr, vl4, mul #0x3 0432FAFD sqdecb x29, #0x17, mul #0x3 0431FAC1 sqdecb x1, #0x16, mul #0x2 043AFB06 sqdecb x6, #0x18, mul #0xb 043AFBF1 sqdecb x17, all, mul #0xb 043DFB8C sqdecb x12, #0x1c, mul #0xe 0437FAC5 sqdecb x5, #0x16, mul #0x8 043EFB3A sqdecb x26, #0x19, mul #0xf 043CFA68 sqdecb x8, #0x13, mul #0xd 043FFB64 sqdecb x4, #0x1b, mul #0x10 043EFBF2 sqdecb x18, all, mul #0xf 043FFBEA sqdecb x10, all, mul #0x10 0438FA54 sqdecb x20, #0x12, mul #0x9 0430FB04 sqdecb x4, #0x18 0433FA5D sqdecb x29, #0x12, mul #0x4 043AF83C sqdecb x28, vl1, mul #0xb // sqdecd_r_rs_sx 000001001110xxxx111110xxxxxxxxxx 04E6F970 sqdecd x16, w16, vl64, mul #0x7 04E2FA43 sqdecd x3, w3, #0x12, mul #0x3 04E9FB26 sqdecd x6, w6, #0x19, mul #0xa 04E8F8FA sqdecd x26, w26, vl7, mul #0x9 04E9F90D sqdecd x13, w13, vl8, mul #0xa 04E3FB13 sqdecd x19, w19, #0x18, mul #0x4 04EFF866 sqdecd x6, w6, vl3, mul #0x10 04E1F940 sqdecd x0, w0, vl32, mul #0x2 04E0FA85 sqdecd x5, w5, #0x14 04ECF8B0 sqdecd x16, w16, vl5, mul #0xd 04E7FA94 sqdecd x20, w20, #0x14, mul #0x8 04EFF896 sqdecd x22, w22, vl4, mul #0x10 04ECFADE sqdecd x30, w30, #0x16, mul #0xd 04E6F8F2 sqdecd x18, w18, vl7, mul #0x7 04E4F878 sqdecd x24, w24, vl3, mul #0x5 04E0FBA8 sqdecd x8, w8, mul4 // sqdecd_r_rs_x 000001001111xxxx111110xxxxxxxxxx 04F9F8A8 sqdecd x8, vl5, mul #0xa 04FDF897 sqdecd x23, vl4, mul #0xe 04F8F9BF sqdecd xzr, vl256, mul #0x9 04FBFB82 sqdecd x2, #0x1c, mul #0xc 04F9FAF5 sqdecd x21, #0x17, mul #0xa 04F5FB07 sqdecd x7, #0x18, mul #0x6 04F2FA66 sqdecd x6, #0x13, mul #0x3 04F4FB5B sqdecd x27, #0x1a, mul #0x5 04F6F92F sqdecd x15, vl16, mul #0x7 04F5F890 sqdecd x16, vl4, mul #0x6 04F4FAB7 sqdecd x23, #0x15, mul #0x5 04F4FA0C sqdecd x12, #0x10, mul #0x5 04F9FA0A sqdecd x10, #0x10, mul #0xa 04F0F97C sqdecd x28, vl64 04FAFAB2 sqdecd x18, #0x15, mul #0xb 04FDFAC6 sqdecd x6, #0x16, mul #0xe // sqdecd_z_zs_ 000001001110xxxx110010xxxxxxxxxx 04EECB40 sqdecd z0.d, #0x1a, mul #0xf 04E4C96B sqdecd z11.d, vl64, mul #0x5 04E8C81E sqdecd z30.d, pow2, mul #0x9 04EEC899 sqdecd z25.d, vl4, mul #0xf 04E5C993 sqdecd z19.d, vl128, mul #0x6 04ECCA9E sqdecd z30.d, #0x14, mul #0xd 04EFCA9B sqdecd z27.d, #0x14, mul #0x10 04E4CAA1 sqdecd z1.d, #0x15, mul #0x5 04E0CA15 sqdecd z21.d, #0x10 04E4CBC5 sqdecd z5.d, mul3, mul #0x5 04E3CA9A sqdecd z26.d, #0x14, mul #0x4 04EFC96E sqdecd z14.d, vl64, mul #0x10 04EDC961 sqdecd z1.d, vl64, mul #0xe 04E2C916 sqdecd z22.d, vl8, mul #0x3 04E6C94E sqdecd z14.d, vl32, mul #0x7 04EAC8F3 sqdecd z19.d, vl7, mul #0xb // sqdech_r_rs_sx 000001000110xxxx111110xxxxxxxxxx 0465F9B5 sqdech x21, w21, vl256, mul #0x6 0464FB39 sqdech x25, w25, #0x19, mul #0x5 0469F82A sqdech x10, w10, vl1, mul #0xa 0464FAAE sqdech x14, w14, #0x15, mul #0x5 0467FA44 sqdech x4, w4, #0x12, mul #0x8 046AFA00 sqdech x0, w0, #0x10, mul #0xb 0462FBC9 sqdech x9, w9, mul3, mul #0x3 0463F984 sqdech x4, w4, vl128, mul #0x4 0460FBB2 sqdech x18, w18, mul4 046AF986 sqdech x6, w6, vl128, mul #0xb 046FFA82 sqdech x2, w2, #0x14, mul #0x10 0468F919 sqdech x25, w25, vl8, mul #0x9 0465FBDE sqdech x30, w30, mul3, mul #0x6 0462FA8A sqdech x10, w10, #0x14, mul #0x3 0469F938 sqdech x24, w24, vl16, mul #0xa 0463FB73 sqdech x19, w19, #0x1b, mul #0x4 // sqdech_r_rs_x 000001000111xxxx111110xxxxxxxxxx 0471F88A sqdech x10, vl4, mul #0x2 0476FB6F sqdech x15, #0x1b, mul #0x7 047FFAD0 sqdech x16, #0x16, mul #0x10 047FF9F1 sqdech x17, #0xf, mul #0x10 0475F8B0 sqdech x16, vl5, mul #0x6 047DF841 sqdech x1, vl2, mul #0xe 0477F811 sqdech x17, pow2, mul #0x8 0477FB8A sqdech x10, #0x1c, mul #0x8 047DF8AC sqdech x12, vl5, mul #0xe 0475F8CD sqdech x13, vl6, mul #0x6 0475F9BA sqdech x26, vl256, mul #0x6 0479FB1F sqdech xzr, #0x18, mul #0xa 047EFA3F sqdech xzr, #0x11, mul #0xf 0476FBAF sqdech x15, mul4, mul #0x7 0470F903 sqdech x3, vl8 0471FB94 sqdech x20, #0x1c, mul #0x2 // sqdech_z_zs_ 000001000110xxxx110010xxxxxxxxxx 0463C94D sqdech z13.h, vl32, mul #0x4 046BC88D sqdech z13.h, vl4, mul #0xc 0463C9B2 sqdech z18.h, vl256, mul #0x4 046AC883 sqdech z3.h, vl4, mul #0xb 0464C938 sqdech z24.h, vl16, mul #0x5 0462C8A7 sqdech z7.h, vl5, mul #0x3 0461CB3C sqdech z28.h, #0x19, mul #0x2 046DCBE1 sqdech z1.h, all, mul #0xe 0468CBAF sqdech z15.h, mul4, mul #0x9 0465C990 sqdech z16.h, vl128, mul #0x6 0461C8C5 sqdech z5.h, vl6, mul #0x2 0465C9C4 sqdech z4.h, #0xe, mul #0x6 0460C9C0 sqdech z0.h, #0xe 0462C959 sqdech z25.h, vl32, mul #0x3 0466C852 sqdech z18.h, vl2, mul #0x7 046FC950 sqdech z16.h, vl32, mul #0x10 // sqdecp_r_p_r_sx 00100101xx1010101000100xxxxxxxxx 256A88D5 sqdecp x21, p6.h, w21 25EA888B sqdecp x11, p4.d, w11 25AA8954 sqdecp x20, p10.s, w20 25EA8839 sqdecp x25, p1.d, w25 252A89B0 sqdecp x16, p13.b, w16 25AA895D sqdecp x29, p10.s, w29 25AA883A sqdecp x26, p1.s, w26 25AA8909 sqdecp x9, p8.s, w9 25AA89BE sqdecp x30, p13.s, w30 25AA89DD sqdecp x29, p14.s, w29 256A8849 sqdecp x9, p2.h, w9 25AA88C1 sqdecp x1, p6.s, w1 25AA887A sqdecp x26, p3.s, w26 252A8917 sqdecp x23, p8.b, w23 256A8944 sqdecp x4, p10.h, w4 25AA8892 sqdecp x18, p4.s, w18 // sqdecp_r_p_r_x 00100101xx1010101000110xxxxxxxxx 25AA8D57 sqdecp x23, p10.s 25AA8D10 sqdecp x16, p8.s 25AA8DA8 sqdecp x8, p13.s 256A8D69 sqdecp x9, p11.h 25EA8DFD sqdecp x29, p15.d 252A8D1D sqdecp x29, p8.b 256A8DAE sqdecp x14, p13.h 256A8D6E sqdecp x14, p11.h 25AA8D31 sqdecp x17, p9.s 25EA8C6F sqdecp x15, p3.d 25AA8C2F sqdecp x15, p1.s 25EA8DE0 sqdecp x0, p15.d 256A8C04 sqdecp x4, p0.h 25AA8C25 sqdecp x5, p1.s 252A8DAB sqdecp x11, p13.b 25EA8C43 sqdecp x3, p2.d // sqdecp_z_p_z_ 00100101xx1010101000000xxxxxxxxx 25EA807F sqdecp z31.d, p3 25EA81F7 sqdecp z23.d, p15 25AA804E sqdecp z14.s, p2 256A81DB sqdecp z27.h, p14 25EA814D sqdecp z13.d, p10 25AA81D0 sqdecp z16.s, p14 256A812D sqdecp z13.h, p9 256A81BE sqdecp z30.h, p13 256A815E sqdecp z30.h, p10 25EA803B sqdecp z27.d, p1 25EA8032 sqdecp z18.d, p1 25AA8046 sqdecp z6.s, p2 25EA809F sqdecp z31.d, p4 25AA8007 sqdecp z7.s, p0 25AA8170 sqdecp z16.s, p11 25EA812E sqdecp z14.d, p9 // sqdecw_r_rs_sx 000001001010xxxx111110xxxxxxxxxx 04A1FAF5 sqdecw x21, w21, #0x17, mul #0x2 04A4FA11 sqdecw x17, w17, #0x10, mul #0x5 04A9FB66 sqdecw x6, w6, #0x1b, mul #0xa 04A7F8E5 sqdecw x5, w5, vl7, mul #0x8 04A6FAE5 sqdecw x5, w5, #0x17, mul #0x7 04A6F8E6 sqdecw x6, w6, vl7, mul #0x7 04A5F8F2 sqdecw x18, w18, vl7, mul #0x6 04A0F936 sqdecw x22, w22, vl16 04A4FBA0 sqdecw x0, w0, mul4, mul #0x5 04A2FAF8 sqdecw x24, w24, #0x17, mul #0x3 04A2FAA1 sqdecw x1, w1, #0x15, mul #0x3 04ABF948 sqdecw x8, w8, vl32, mul #0xc 04AAF90E sqdecw x14, w14, vl8, mul #0xb 04A7FBA3 sqdecw x3, w3, mul4, mul #0x8 04A4FBA8 sqdecw x8, w8, mul4, mul #0x5 04A6F9E3 sqdecw x3, w3, #0xf, mul #0x7 // sqdecw_r_rs_x 000001001011xxxx111110xxxxxxxxxx 04BBF9D3 sqdecw x19, #0xe, mul #0xc 04B7FBCF sqdecw x15, mul3, mul #0x8 04BFFA7D sqdecw x29, #0x13, mul #0x10 04BBF9D0 sqdecw x16, #0xe, mul #0xc 04B4F823 sqdecw x3, vl1, mul #0x5 04B8FA7E sqdecw x30, #0x13, mul #0x9 04BCFB67 sqdecw x7, #0x1b, mul #0xd 04B2F9B6 sqdecw x22, vl256, mul #0x3 04BDF868 sqdecw x8, vl3, mul #0xe 04B9FBB1 sqdecw x17, mul4, mul #0xa 04B1F9BD sqdecw x29, vl256, mul #0x2 04B8FA27 sqdecw x7, #0x11, mul #0x9 04B5F8C1 sqdecw x1, vl6, mul #0x6 04BFFA33 sqdecw x19, #0x11, mul #0x10 04BFFB9B sqdecw x27, #0x1c, mul #0x10 04B0F830 sqdecw x16, vl1 // sqdecw_z_zs_ 000001001010xxxx110010xxxxxxxxxx 04AEC8D8 sqdecw z24.s, vl6, mul #0xf 04A2C937 sqdecw z23.s, vl16, mul #0x3 04A5CB31 sqdecw z17.s, #0x19, mul #0x6 04AFC8A9 sqdecw z9.s, vl5, mul #0x10 04A9C8C9 sqdecw z9.s, vl6, mul #0xa 04A6CB04 sqdecw z4.s, #0x18, mul #0x7 04A3CABC sqdecw z28.s, #0x15, mul #0x4 04A6C848 sqdecw z8.s, vl2, mul #0x7 04A7C959 sqdecw z25.s, vl32, mul #0x8 04A1C9D5 sqdecw z21.s, #0xe, mul #0x2 04AFCA4B sqdecw z11.s, #0x12, mul #0x10 04A0C809 sqdecw z9.s, pow2 04ACCB7B sqdecw z27.s, #0x1b, mul #0xd 04A4CAE7 sqdecw z7.s, #0x17, mul #0x5 04A3C826 sqdecw z6.s, vl1, mul #0x4 04ACC93B sqdecw z27.s, vl16, mul #0xd // sqincb_r_rs_sx 000001000010xxxx111100xxxxxxxxxx 042FF066 sqincb x6, w6, vl3, mul #0x10 042AF26E sqincb x14, w14, #0x13, mul #0xb 042FF322 sqincb x2, w2, #0x19, mul #0x10 0425F1BB sqincb x27, w27, vl256, mul #0x6 042FF369 sqincb x9, w9, #0x1b, mul #0x10 0424F09B sqincb x27, w27, vl4, mul #0x5 042AF081 sqincb x1, w1, vl4, mul #0xb 042CF0F5 sqincb x21, w21, vl7, mul #0xd 0423F3BD sqincb x29, w29, mul4, mul #0x4 0425F0B2 sqincb x18, w18, vl5, mul #0x6 0429F00B sqincb x11, w11, pow2, mul #0xa 0427F12E sqincb x14, w14, vl16, mul #0x8 0423F0BA sqincb x26, w26, vl5, mul #0x4 0427F3D6 sqincb x22, w22, mul3, mul #0x8 0429F310 sqincb x16, w16, #0x18, mul #0xa 0424F3A9 sqincb x9, w9, mul4, mul #0x5 // sqincb_r_rs_x 000001000011xxxx111100xxxxxxxxxx 043CF306 sqincb x6, #0x18, mul #0xd 0433F1C6 sqincb x6, #0xe, mul #0x4 0438F37F sqincb xzr, #0x1b, mul #0x9 0438F102 sqincb x2, vl8, mul #0x9 0432F01A sqincb x26, pow2, mul #0x3 0433F117 sqincb x23, vl8, mul #0x4 0431F3B3 sqincb x19, mul4, mul #0x2 0438F1A4 sqincb x4, vl256, mul #0x9 043BF39E sqincb x30, #0x1c, mul #0xc 043BF13D sqincb x29, vl16, mul #0xc 0438F37A sqincb x26, #0x1b, mul #0x9 0437F3AE sqincb x14, mul4, mul #0x8 0435F3DD sqincb x29, mul3, mul #0x6 0438F378 sqincb x24, #0x1b, mul #0x9 0436F284 sqincb x4, #0x14, mul #0x7 043FF315 sqincb x21, #0x18, mul #0x10 // sqincd_r_rs_sx 000001001110xxxx111100xxxxxxxxxx 04ECF05D sqincd x29, w29, vl2, mul #0xd 04EFF058 sqincd x24, w24, vl2, mul #0x10 04E7F16E sqincd x14, w14, vl64, mul #0x8 04E0F0DD sqincd x29, w29, vl6 04E2F039 sqincd x25, w25, vl1, mul #0x3 04EBF3C5 sqincd x5, w5, mul3, mul #0xc 04E8F297 sqincd x23, w23, #0x14, mul #0x9 04E6F3B8 sqincd x24, w24, mul4, mul #0x7 04E6F312 sqincd x18, w18, #0x18, mul #0x7 04E0F32A sqincd x10, w10, #0x19 04E2F39E sqincd x30, w30, #0x1c, mul #0x3 04E2F02E sqincd x14, w14, vl1, mul #0x3 04E9F241 sqincd x1, w1, #0x12, mul #0xa 04E2F2C7 sqincd x7, w7, #0x16, mul #0x3 04E3F171 sqincd x17, w17, vl64, mul #0x4 04EDF03E sqincd x30, w30, vl1, mul #0xe // sqincd_r_rs_x 000001001111xxxx111100xxxxxxxxxx 04FCF389 sqincd x9, #0x1c, mul #0xd 04FDF047 sqincd x7, vl2, mul #0xe 04FBF208 sqincd x8, #0x10, mul #0xc 04F0F034 sqincd x20, vl1 04FEF3D1 sqincd x17, mul3, mul #0xf 04F8F01F sqincd xzr, pow2, mul #0x9 04FAF286 sqincd x6, #0x14, mul #0xb 04F4F3E6 sqincd x6, all, mul #0x5 04F4F2AB sqincd x11, #0x15, mul #0x5 04F7F300 sqincd x0, #0x18, mul #0x8 04FBF255 sqincd x21, #0x12, mul #0xc 04F5F159 sqincd x25, vl32, mul #0x6 04F7F294 sqincd x20, #0x14, mul #0x8 04FAF2E3 sqincd x3, #0x17, mul #0xb 04F9F2FB sqincd x27, #0x17, mul #0xa 04F9F2EB sqincd x11, #0x17, mul #0xa // sqincd_z_zs_ 000001001110xxxx110000xxxxxxxxxx 04E2C1CB sqincd z11.d, #0xe, mul #0x3 04E2C1B7 sqincd z23.d, vl256, mul #0x3 04E2C354 sqincd z20.d, #0x1a, mul #0x3 04EEC0EF sqincd z15.d, vl7, mul #0xf 04E2C1EC sqincd z12.d, #0xf, mul #0x3 04E1C120 sqincd z0.d, vl16, mul #0x2 04E4C0B2 sqincd z18.d, vl5, mul #0x5 04E3C3D3 sqincd z19.d, mul3, mul #0x4 04EDC1CE sqincd z14.d, #0xe, mul #0xe 04EEC30B sqincd z11.d, #0x18, mul #0xf 04EDC13D sqincd z29.d, vl16, mul #0xe 04EEC201 sqincd z1.d, #0x10, mul #0xf 04E5C332 sqincd z18.d, #0x19, mul #0x6 04E1C252 sqincd z18.d, #0x12, mul #0x2 04EEC3ED sqincd z13.d, all, mul #0xf 04EAC334 sqincd z20.d, #0x19, mul #0xb // sqinch_r_rs_sx 000001000110xxxx111100xxxxxxxxxx 0468F0FC sqinch x28, w28, vl7, mul #0x9 046DF1FF sqinch xzr, wzr, #0xf, mul #0xe 046EF3F0 sqinch x16, w16, all, mul #0xf 046EF3B2 sqinch x18, w18, mul4, mul #0xf 0468F0DA sqinch x26, w26, vl6, mul #0x9 046DF1BB sqinch x27, w27, vl256, mul #0xe 0461F21E sqinch x30, w30, #0x10, mul #0x2 046FF3CE sqinch x14, w14, mul3, mul #0x10 046FF0C8 sqinch x8, w8, vl6, mul #0x10 0469F16F sqinch x15, w15, vl64, mul #0xa 046EF37C sqinch x28, w28, #0x1b, mul #0xf 0462F18F sqinch x15, w15, vl128, mul #0x3 0468F2BD sqinch x29, w29, #0x15, mul #0x9 046CF056 sqinch x22, w22, vl2, mul #0xd 046DF130 sqinch x16, w16, vl16, mul #0xe 046BF1C7 sqinch x7, w7, #0xe, mul #0xc // sqinch_r_rs_x 000001000111xxxx111100xxxxxxxxxx 047CF1F0 sqinch x16, #0xf, mul #0xd 0477F3FC sqinch x28, all, mul #0x8 0472F362 sqinch x2, #0x1b, mul #0x3 047CF1AB sqinch x11, vl256, mul #0xd 047DF06B sqinch x11, vl3, mul #0xe 047CF3FA sqinch x26, all, mul #0xd 0475F009 sqinch x9, pow2, mul #0x6 0478F2E1 sqinch x1, #0x17, mul #0x9 047EF1A7 sqinch x7, vl256, mul #0xf 047BF126 sqinch x6, vl16, mul #0xc 0472F152 sqinch x18, vl32, mul #0x3 0473F2AC sqinch x12, #0x15, mul #0x4 047AF209 sqinch x9, #0x10, mul #0xb 0471F152 sqinch x18, vl32, mul #0x2 047DF12F sqinch x15, vl16, mul #0xe 0471F07E sqinch x30, vl3, mul #0x2 // sqinch_z_zs_ 000001000110xxxx110000xxxxxxxxxx 0469C322 sqinch z2.h, #0x19, mul #0xa 0465C33D sqinch z29.h, #0x19, mul #0x6 046DC336 sqinch z22.h, #0x19, mul #0xe 0469C3F6 sqinch z22.h, all, mul #0xa 0465C37B sqinch z27.h, #0x1b, mul #0x6 0462C1C9 sqinch z9.h, #0xe, mul #0x3 0463C3E8 sqinch z8.h, all, mul #0x4 0463C323 sqinch z3.h, #0x19, mul #0x4 046AC11B sqinch z27.h, vl8, mul #0xb 046EC300 sqinch z0.h, #0x18, mul #0xf 046FC25B sqinch z27.h, #0x12, mul #0x10 046CC31E sqinch z30.h, #0x18, mul #0xd 0467C279 sqinch z25.h, #0x13, mul #0x8 0460C346 sqinch z6.h, #0x1a 0461C03C sqinch z28.h, vl1, mul #0x2 0467C008 sqinch z8.h, pow2, mul #0x8 // sqincp_r_p_r_sx 00100101xx1010001000100xxxxxxxxx 25E8893D sqincp x29, p9.d, w29 25288896 sqincp x22, p4.b, w22 25688865 sqincp x5, p3.h, w5 2528885B sqincp x27, p2.b, w27 252888AB sqincp x11, p5.b, w11 25688882 sqincp x2, p4.h, w2 252888FF sqincp xzr, p7.b, wzr 25A88890 sqincp x16, p4.s, w16 2568895C sqincp x28, p10.h, w28 25688836 sqincp x22, p1.h, w22 2528888E sqincp x14, p4.b, w14 25E889AC sqincp x12, p13.d, w12 25E888B7 sqincp x23, p5.d, w23 25688921 sqincp x1, p9.h, w1 25A889FD sqincp x29, p15.s, w29 25A88856 sqincp x22, p2.s, w22 // sqincp_r_p_r_x 00100101xx1010001000110xxxxxxxxx 25A88D5D sqincp x29, p10.s 25688C33 sqincp x19, p1.h 25A88C1C sqincp x28, p0.s 25288D82 sqincp x2, p12.b 25A88D01 sqincp x1, p8.s 25E88C76 sqincp x22, p3.d 25288D9F sqincp xzr, p12.b 25288C06 sqincp x6, p0.b 25688CDF sqincp xzr, p6.h 25688C53 sqincp x19, p2.h 25288C89 sqincp x9, p4.b 25E88C05 sqincp x5, p0.d 25688C59 sqincp x25, p2.h 25288D11 sqincp x17, p8.b 25688CCE sqincp x14, p6.h 25A88DA0 sqincp x0, p13.s // sqincp_z_p_z_ 00100101xx1010001000000xxxxxxxxx 256880D0 sqincp z16.h, p6 25E88199 sqincp z25.d, p12 25E88015 sqincp z21.d, p0 25E88191 sqincp z17.d, p12 25A8802B sqincp z11.s, p1 25E880B6 sqincp z22.d, p5 256881E0 sqincp z0.h, p15 25688081 sqincp z1.h, p4 2568819B sqincp z27.h, p12 256880C9 sqincp z9.h, p6 256880F1 sqincp z17.h, p7 25A8809A sqincp z26.s, p4 25E881ED sqincp z13.d, p15 25A88117 sqincp z23.s, p8 25A8804C sqincp z12.s, p2 25A88024 sqincp z4.s, p1 // sqincw_r_rs_sx 000001001010xxxx111100xxxxxxxxxx 04A5F056 sqincw x22, w22, vl2, mul #0x6 04AAF15B sqincw x27, w27, vl32, mul #0xb 04A0F218 sqincw x24, w24, #0x10 04AAF310 sqincw x16, w16, #0x18, mul #0xb 04A5F2D9 sqincw x25, w25, #0x16, mul #0x6 04AAF339 sqincw x25, w25, #0x19, mul #0xb 04AFF0A7 sqincw x7, w7, vl5, mul #0x10 04A3F1EA sqincw x10, w10, #0xf, mul #0x4 04A7F324 sqincw x4, w4, #0x19, mul #0x8 04ABF07B sqincw x27, w27, vl3, mul #0xc 04A1F075 sqincw x21, w21, vl3, mul #0x2 04A4F348 sqincw x8, w8, #0x1a, mul #0x5 04AFF20C sqincw x12, w12, #0x10, mul #0x10 04A7F154 sqincw x20, w20, vl32, mul #0x8 04A6F3BF sqincw xzr, wzr, mul4, mul #0x7 04A9F2C0 sqincw x0, w0, #0x16, mul #0xa // sqincw_r_rs_x 000001001011xxxx111100xxxxxxxxxx 04B3F2E0 sqincw x0, #0x17, mul #0x4 04B0F134 sqincw x20, vl16 04BBF2DD sqincw x29, #0x16, mul #0xc 04B5F3A2 sqincw x2, mul4, mul #0x6 04BAF098 sqincw x24, vl4, mul #0xb 04B5F3E3 sqincw x3, all, mul #0x6 04BEF20B sqincw x11, #0x10, mul #0xf 04B9F268 sqincw x8, #0x13, mul #0xa 04B9F373 sqincw x19, #0x1b, mul #0xa 04BFF1D5 sqincw x21, #0xe, mul #0x10 04B3F14D sqincw x13, vl32, mul #0x4 04B5F19F sqincw xzr, vl128, mul #0x6 04B7F310 sqincw x16, #0x18, mul #0x8 04B2F0AA sqincw x10, vl5, mul #0x3 04B3F116 sqincw x22, vl8, mul #0x4 04BBF293 sqincw x19, #0x14, mul #0xc // sqincw_z_zs_ 000001001010xxxx110000xxxxxxxxxx 04A0C3BF sqincw z31.s, mul4 04AFC384 sqincw z4.s, #0x1c, mul #0x10 04A3C173 sqincw z19.s, vl64, mul #0x4 04ACC07E sqincw z30.s, vl3, mul #0xd 04A6C019 sqincw z25.s, pow2, mul #0x7 04A0C179 sqincw z25.s, vl64 04A6C122 sqincw z2.s, vl16, mul #0x7 04A5C226 sqincw z6.s, #0x11, mul #0x6 04ABC05B sqincw z27.s, vl2, mul #0xc 04A8C022 sqincw z2.s, vl1, mul #0x9 04A2C21B sqincw z27.s, #0x10, mul #0x3 04A5C1C2 sqincw z2.s, #0xe, mul #0x6 04A8C080 sqincw z0.s, vl4, mul #0x9 04A3C2AA sqincw z10.s, #0x15, mul #0x4 04AFC18E sqincw z14.s, vl128, mul #0x10 04AFC3F8 sqincw z24.s, all, mul #0x10 // sqsub_z_zi_ 00100101xx10011011xxxxxxxxxxxxxx 25A6DCC9 sqsub z9.s, z9.s, #0xe6 25A6C644 sqsub z4.s, z4.s, #0x32 25A6EDAD sqsub z13.s, z13.s, #0x6d00 2566DBC2 sqsub z2.h, z2.h, #0xde 25A6EEF5 sqsub z21.s, z21.s, #0x7700 25A6FF81 sqsub z1.s, z1.s, #0xfc00 25A6DE45 sqsub z5.s, z5.s, #0xf2 25E6CCF1 sqsub z17.d, z17.d, #0x67 25E6F722 sqsub z2.d, z2.d, #0xb900 25A6EE22 sqsub z2.s, z2.s, #0x7100 2566D64C sqsub z12.h, z12.h, #0xb2 25A6D849 sqsub z9.s, z9.s, #0xc2 2526DA59 sqsub z25.b, z25.b, #0xd2 25E6F5EA sqsub z10.d, z10.d, #0xaf00 2566D191 sqsub z17.h, z17.h, #0x8c 2566F835 sqsub z21.h, z21.h, #0xc100 // sqsub_z_zz_ 00000100xx1xxxxx000110xxxxxxxxxx 042818B6 sqsub z22.b, z5.b, z8.b 04BA18FE sqsub z30.s, z7.s, z26.s 04F11AB5 sqsub z21.d, z21.d, z17.d 04761B98 sqsub z24.h, z28.h, z22.h 04B81BF6 sqsub z22.s, z31.s, z24.s 0461196C sqsub z12.h, z11.h, z1.h 043A1ADB sqsub z27.b, z22.b, z26.b 04A61AF9 sqsub z25.s, z23.s, z6.s 04631A87 sqsub z7.h, z20.h, z3.h 04FF1A1E sqsub z30.d, z16.d, z31.d 04EA1B0A sqsub z10.d, z24.d, z10.d 046818CF sqsub z15.h, z6.h, z8.h 046819C8 sqsub z8.h, z14.h, z8.h 04E31859 sqsub z25.d, z2.d, z3.d 04E118F7 sqsub z23.d, z7.d, z1.d 04AE19D1 sqsub z17.s, z14.s, z14.s // st1b_z_p_ai_d 11100100010xxxxx101xxxxxxxxxxxxx E456A5CC st1b {z12.d}, p1, [z14.d, #0x16] E44EBFCA st1b {z10.d}, p7, [z30.d, #0xe] E448A9B8 st1b {z24.d}, p2, [z13.d, #0x8] E44EA2D6 st1b {z22.d}, p0, [z22.d, #0xe] E459B727 st1b {z7.d}, p5, [z25.d, #0x19] E45EB6E9 st1b {z9.d}, p5, [z23.d, #0x1e] E458BF9F st1b {z31.d}, p7, [z28.d, #0x18] E44DB616 st1b {z22.d}, p5, [z16.d, #0xd] E451AC64 st1b {z4.d}, p3, [z3.d, #0x11] E44EA885 st1b {z5.d}, p2, [z4.d, #0xe] E450BB61 st1b {z1.d}, p6, [z27.d, #0x10] E452AE82 st1b {z2.d}, p3, [z20.d, #0x12] E446AE33 st1b {z19.d}, p3, [z17.d, #0x6] E44FAFD9 st1b {z25.d}, p3, [z30.d, #0xf] E441B3F3 st1b {z19.d}, p4, [z31.d, #0x1] E446A63C st1b {z28.d}, p1, [z17.d, #0x6] // st1b_z_p_ai_s 11100100011xxxxx101xxxxxxxxxxxxx E470B475 st1b {z21.s}, p5, [z3.s, #0x10] E46BB284 st1b {z4.s}, p4, [z20.s, #0xb] E477A177 st1b {z23.s}, p0, [z11.s, #0x17] E468BD00 st1b {z0.s}, p7, [z8.s, #0x8] E475A065 st1b {z5.s}, p0, [z3.s, #0x15] E47AA05E st1b {z30.s}, p0, [z2.s, #0x1a] E468B121 st1b {z1.s}, p4, [z9.s, #0x8] E473AF28 st1b {z8.s}, p3, [z25.s, #0x13] E468B408 st1b {z8.s}, p5, [z0.s, #0x8] E469A55D st1b {z29.s}, p1, [z10.s, #0x9] E477AB8C st1b {z12.s}, p2, [z28.s, #0x17] E47BAF72 st1b {z18.s}, p3, [z27.s, #0x1b] E475BF24 st1b {z4.s}, p7, [z25.s, #0x15] E47EA5C6 st1b {z6.s}, p1, [z14.s, #0x1e] E461BD07 st1b {z7.s}, p7, [z8.s, #0x1] E47EB718 st1b {z24.s}, p5, [z24.s, #0x1e] // st1b_z_p_bi_ 111001000xx0xxxx111xxxxxxxxxxxxx E428EC50 st1b {z16.h}, p3, [x2, #-8, mul vl] E463F31B st1b {z27.d}, p4, [x24, #0x3, mul vl] E429E41C st1b {z28.h}, p1, [x0, #-7, mul vl] E42AF67B st1b {z27.h}, p5, [x19, #-6, mul vl] E428E024 st1b {z4.h}, p0, [x1, #-8, mul vl] E466F4FE st1b {z30.d}, p5, [x7, #0x6, mul vl] E426EF59 st1b {z25.h}, p3, [x26, #0x6, mul vl] E44DFFA1 st1b {z1.s}, p7, [x29, #-3, mul vl] E442E490 st1b {z16.s}, p1, [x4, #0x2, mul vl] E407E118 st1b {z24.b}, p0, [x8, #0x7, mul vl] E443F332 st1b {z18.s}, p4, [x25, #0x3, mul vl] E469EE72 st1b {z18.d}, p3, [x19, #-7, mul vl] E422F380 st1b {z0.h}, p4, [x28, #0x2, mul vl] E441FC1F st1b {z31.s}, p7, [x0, #0x1, mul vl] E46FF4AE st1b {z14.d}, p5, [x5, #-1, mul vl] E400E874 st1b {z20.b}, p2, [x3] // st1b_z_p_br_ 111001000xxxxxxx010xxxxxxxxxxxxx E47847FB st1b {z27.d}, p1, [sp, x24] E4224A3F st1b {z31.h}, p2, [x17, x2] E4645BA1 st1b {z1.d}, p6, [x29, x4] E44447D1 st1b {z17.s}, p1, [x30, x4] E47E5275 st1b {z21.d}, p4, [x19, x30] E42B5628 st1b {z8.h}, p5, [x17, x11] E473517B st1b {z27.d}, p4, [x11, x19] E41C4AC4 st1b {z4.b}, p2, [x22, x28] E44C5C9A st1b {z26.s}, p7, [x4, x12] E46F53F0 st1b {z16.d}, p4, [sp, x15] E448561D st1b {z29.s}, p5, [x16, x8] E43A58B8 st1b {z24.h}, p6, [x5, x26] E433568E st1b {z14.h}, p5, [x20, x19] E4645038 st1b {z24.d}, p4, [x1, x4] E43140B4 st1b {z20.h}, p0, [x5, x17] E42543E5 st1b {z5.h}, p0, [sp, x5] // st1b_z_p_bz_d_64_unscaled 11100100000xxxxx101xxxxxxxxxxxxx E419AD43 st1b {z3.d}, p3, [x10, z25.d] E418BAE6 st1b {z6.d}, p6, [x23, z24.d] E400A52D st1b {z13.d}, p1, [x9, z0.d] E418A35E st1b {z30.d}, p0, [x26, z24.d] E40DA646 st1b {z6.d}, p1, [x18, z13.d] E41EBE46 st1b {z6.d}, p7, [x18, z30.d] E402A640 st1b {z0.d}, p1, [x18, z2.d] E41DBC3A st1b {z26.d}, p7, [x1, z29.d] E40FA180 st1b {z0.d}, p0, [x12, z15.d] E40BA129 st1b {z9.d}, p0, [x9, z11.d] E40CBDBA st1b {z26.d}, p7, [x13, z12.d] E40BBFAA st1b {z10.d}, p7, [x29, z11.d] E416BC73 st1b {z19.d}, p7, [x3, z22.d] E40FB0ED st1b {z13.d}, p4, [x7, z15.d] E413A72A st1b {z10.d}, p1, [x25, z19.d] E41FBC39 st1b {z25.d}, p7, [x1, z31.d] // st1b_z_p_bz_d_x32_unscaled 11100100000xxxxx1x0xxxxxxxxxxxxx E4129675 st1b {z21.d}, p5, [x19, z18.d, uxtw] E40F8C0B st1b {z11.d}, p3, [x0, z15.d, uxtw] E40CCD28 st1b {z8.d}, p3, [x9, z12.d, sxtw] E41E9ECB st1b {z11.d}, p7, [x22, z30.d, uxtw] E4098319 st1b {z25.d}, p0, [x24, z9.d, uxtw] E416D350 st1b {z16.d}, p4, [x26, z22.d, sxtw] E40DDCC0 st1b {z0.d}, p7, [x6, z13.d, sxtw] E415C35C st1b {z28.d}, p0, [x26, z21.d, sxtw] E40FC20E st1b {z14.d}, p0, [x16, z15.d, sxtw] E400DD07 st1b {z7.d}, p7, [x8, z0.d, sxtw] E41B9D16 st1b {z22.d}, p7, [x8, z27.d, uxtw] E40C8014 st1b {z20.d}, p0, [x0, z12.d, uxtw] E41196A9 st1b {z9.d}, p5, [x21, z17.d, uxtw] E4018B60 st1b {z0.d}, p2, [x27, z1.d, uxtw] E41D9E21 st1b {z1.d}, p7, [x17, z29.d, uxtw] E41698AC st1b {z12.d}, p6, [x5, z22.d, uxtw] // st1b_z_p_bz_s_x32_unscaled 11100100010xxxxx1x0xxxxxxxxxxxxx E447CE04 st1b {z4.s}, p3, [x16, z7.s, sxtw] E45294F0 st1b {z16.s}, p5, [x7, z18.s, uxtw] E44A9014 st1b {z20.s}, p4, [x0, z10.s, uxtw] E45380E7 st1b {z7.s}, p0, [x7, z19.s, uxtw] E44692A1 st1b {z1.s}, p4, [x21, z6.s, uxtw] E44ED4C8 st1b {z8.s}, p5, [x6, z14.s, sxtw] E4478769 st1b {z9.s}, p1, [x27, z7.s, uxtw] E445D992 st1b {z18.s}, p6, [x12, z5.s, sxtw] E442CAD8 st1b {z24.s}, p2, [x22, z2.s, sxtw] E4429A7A st1b {z26.s}, p6, [x19, z2.s, uxtw] E45DD744 st1b {z4.s}, p5, [x26, z29.s, sxtw] E45795F8 st1b {z24.s}, p5, [x15, z23.s, uxtw] E456C17F st1b {z31.s}, p0, [x11, z22.s, sxtw] E446970E st1b {z14.s}, p5, [x24, z6.s, uxtw] E445C63D st1b {z29.s}, p1, [x17, z5.s, sxtw] E45EC8C1 st1b {z1.s}, p2, [x6, z30.s, sxtw] // st1d_z_p_ai_d 11100101110xxxxx101xxxxxxxxxxxxx E5DCA7E4 st1d {z4.d}, p1, [z31.d, #0xe0] E5C0A3A1 st1d {z1.d}, p0, [z29.d] E5D7BF8E st1d {z14.d}, p7, [z28.d, #0xb8] E5CEB802 st1d {z2.d}, p6, [z0.d, #0x70] E5D4A748 st1d {z8.d}, p1, [z26.d, #0xa0] E5CCA00C st1d {z12.d}, p0, [z0.d, #0x60] E5D3B3EA st1d {z10.d}, p4, [z31.d, #0x98] E5DAB314 st1d {z20.d}, p4, [z24.d, #0xd0] E5D6BC03 st1d {z3.d}, p7, [z0.d, #0xb0] E5D6B4C1 st1d {z1.d}, p5, [z6.d, #0xb0] E5DAB48E st1d {z14.d}, p5, [z4.d, #0xd0] E5DEBCA8 st1d {z8.d}, p7, [z5.d, #0xf0] E5C1BD0F st1d {z15.d}, p7, [z8.d, #0x8] E5D0BB92 st1d {z18.d}, p6, [z28.d, #0x80] E5DDBB0C st1d {z12.d}, p6, [z24.d, #0xe8] E5C4A1D1 st1d {z17.d}, p0, [z14.d, #0x20] // st1d_z_p_bi_ 111001011110xxxx111xxxxxxxxxxxxx E5E3E3FC st1d {z28.d}, p0, [sp, #0x3, mul vl] E5E2E2B1 st1d {z17.d}, p0, [x21, #0x2, mul vl] E5ECF0BC st1d {z28.d}, p4, [x5, #-4, mul vl] E5EEE955 st1d {z21.d}, p2, [x10, #-2, mul vl] E5E9F3CC st1d {z12.d}, p4, [x30, #-7, mul vl] E5E0EF60 st1d {z0.d}, p3, [x27] E5E5FC25 st1d {z5.d}, p7, [x1, #0x5, mul vl] E5EDEF4F st1d {z15.d}, p3, [x26, #-3, mul vl] E5EEE358 st1d {z24.d}, p0, [x26, #-2, mul vl] E5E1E79E st1d {z30.d}, p1, [x28, #0x1, mul vl] E5E0FC26 st1d {z6.d}, p7, [x1] E5E6FCA3 st1d {z3.d}, p7, [x5, #0x6, mul vl] E5EDFE79 st1d {z25.d}, p7, [x19, #-3, mul vl] E5E1E112 st1d {z18.d}, p0, [x8, #0x1, mul vl] E5E9FDF7 st1d {z23.d}, p7, [x15, #-7, mul vl] E5E6EEE6 st1d {z6.d}, p3, [x23, #0x6, mul vl] // st1d_z_p_br_ 11100101111xxxxx010xxxxxxxxxxxxx E5EC5299 st1d {z25.d}, p4, [x20, x12, lsl #0x3] E5ED509A st1d {z26.d}, p4, [x4, x13, lsl #0x3] E5EC52A6 st1d {z6.d}, p4, [x21, x12, lsl #0x3] E5F44CA3 st1d {z3.d}, p3, [x5, x20, lsl #0x3] E5EF5B71 st1d {z17.d}, p6, [x27, x15, lsl #0x3] E5FD55DC st1d {z28.d}, p5, [x14, x29, lsl #0x3] E5F8423E st1d {z30.d}, p0, [x17, x24, lsl #0x3] E5F35072 st1d {z18.d}, p4, [x3, x19, lsl #0x3] E5E4424C st1d {z12.d}, p0, [x18, x4, lsl #0x3] E5E55574 st1d {z20.d}, p5, [x11, x5, lsl #0x3] E5E65AA2 st1d {z2.d}, p6, [x21, x6, lsl #0x3] E5F74011 st1d {z17.d}, p0, [x0, x23, lsl #0x3] E5ED5016 st1d {z22.d}, p4, [x0, x13, lsl #0x3] E5EA5493 st1d {z19.d}, p5, [x4, x10, lsl #0x3] E5F55278 st1d {z24.d}, p4, [x19, x21, lsl #0x3] E5E34A09 st1d {z9.d}, p2, [x16, x3, lsl #0x3] // st1d_z_p_bz_d_64_scaled 11100101101xxxxx101xxxxxxxxxxxxx E5B3B276 st1d {z22.d}, p4, [x19, z19.d, lsl #0x3] E5B0B4FC st1d {z28.d}, p5, [x7, z16.d, lsl #0x3] E5A5BA7A st1d {z26.d}, p6, [x19, z5.d, lsl #0x3] E5B0B52D st1d {z13.d}, p5, [x9, z16.d, lsl #0x3] E5BBBA9F st1d {z31.d}, p6, [x20, z27.d, lsl #0x3] E5AAB1F6 st1d {z22.d}, p4, [x15, z10.d, lsl #0x3] E5B8A5F3 st1d {z19.d}, p1, [x15, z24.d, lsl #0x3] E5ADBB40 st1d {z0.d}, p6, [x26, z13.d, lsl #0x3] E5BAA6CE st1d {z14.d}, p1, [x22, z26.d, lsl #0x3] E5A5AEE2 st1d {z2.d}, p3, [x23, z5.d, lsl #0x3] E5BCB048 st1d {z8.d}, p4, [x2, z28.d, lsl #0x3] E5B7B714 st1d {z20.d}, p5, [x24, z23.d, lsl #0x3] E5A6B633 st1d {z19.d}, p5, [x17, z6.d, lsl #0x3] E5BAB8CB st1d {z11.d}, p6, [x6, z26.d, lsl #0x3] E5BCAD95 st1d {z21.d}, p3, [x12, z28.d, lsl #0x3] E5A2AED5 st1d {z21.d}, p3, [x22, z2.d, lsl #0x3] // st1d_z_p_bz_d_64_unscaled 11100101100xxxxx101xxxxxxxxxxxxx E58AA6BA st1d {z26.d}, p1, [x21, z10.d] E591B5AE st1d {z14.d}, p5, [x13, z17.d] E584BDB6 st1d {z22.d}, p7, [x13, z4.d] E58DBAC6 st1d {z6.d}, p6, [x22, z13.d] E59BBC15 st1d {z21.d}, p7, [x0, z27.d] E59BB2D7 st1d {z23.d}, p4, [x22, z27.d] E588ADCA st1d {z10.d}, p3, [x14, z8.d] E599B4F0 st1d {z16.d}, p5, [x7, z25.d] E585BAAA st1d {z10.d}, p6, [x21, z5.d] E590B9DE st1d {z30.d}, p6, [x14, z16.d] E58DA863 st1d {z3.d}, p2, [x3, z13.d] E59AAA55 st1d {z21.d}, p2, [x18, z26.d] E588B6ED st1d {z13.d}, p5, [x23, z8.d] E596B19F st1d {z31.d}, p4, [x12, z22.d] E589B107 st1d {z7.d}, p4, [x8, z9.d] E586A63B st1d {z27.d}, p1, [x17, z6.d] // st1d_z_p_bz_d_x32_scaled 11100101101xxxxx1xxxxxxxxxxxxxxx E5A89828 st1d {z8.d}, p6, [x1, z8.d, uxtw #0x3] E5B98D4B st1d {z11.d}, p3, [x10, z25.d, uxtw #0x3] E5A2CD0B st1d {z11.d}, p3, [x8, z2.d, sxtw #0x3] E5AFD2DB st1d {z27.d}, p4, [x22, z15.d, sxtw #0x3] E5B28A3F st1d {z31.d}, p2, [x17, z18.d, uxtw #0x3] E5B08E4D st1d {z13.d}, p3, [x18, z16.d, uxtw #0x3] E5B78CF4 st1d {z20.d}, p3, [x7, z23.d, uxtw #0x3] E5BCD35D st1d {z29.d}, p4, [x26, z28.d, sxtw #0x3] E5B3D3EF st1d {z15.d}, p4, [sp, z19.d, sxtw #0x3] E5B18859 st1d {z25.d}, p2, [x2, z17.d, uxtw #0x3] E5ADCA15 st1d {z21.d}, p2, [x16, z13.d, sxtw #0x3] E5A2C1C7 st1d {z7.d}, p0, [x14, z2.d, sxtw #0x3] E5BB938C st1d {z12.d}, p4, [x28, z27.d, uxtw #0x3] E5A0C843 st1d {z3.d}, p2, [x2, z0.d, sxtw #0x3] E5A08CA5 st1d {z5.d}, p3, [x5, z0.d, uxtw #0x3] E5AB8A84 st1d {z4.d}, p2, [x20, z11.d, uxtw #0x3] // st1d_z_p_bz_d_x32_unscaled 11100101100xxxxx1xxxxxxxxxxxxxxx E58F8D96 st1d {z22.d}, p3, [x12, z15.d, uxtw] E58286D5 st1d {z21.d}, p1, [x22, z2.d, uxtw] E5878860 st1d {z0.d}, p2, [x3, z7.d, uxtw] E5929629 st1d {z9.d}, p5, [x17, z18.d, uxtw] E580CA58 st1d {z24.d}, p2, [x18, z0.d, sxtw] E59D8456 st1d {z22.d}, p1, [x2, z29.d, uxtw] E59990DD st1d {z29.d}, p4, [x6, z25.d, uxtw] E5968F39 st1d {z25.d}, p3, [x25, z22.d, uxtw] E5849D8E st1d {z14.d}, p7, [x12, z4.d, uxtw] E594CF48 st1d {z8.d}, p3, [x26, z20.d, sxtw] E591C38B st1d {z11.d}, p0, [x28, z17.d, sxtw] E594936C st1d {z12.d}, p4, [x27, z20.d, uxtw] E5899EA3 st1d {z3.d}, p7, [x21, z9.d, uxtw] E59A8985 st1d {z5.d}, p2, [x12, z26.d, uxtw] E590C512 st1d {z18.d}, p1, [x8, z16.d, sxtw] E59D806B st1d {z11.d}, p0, [x3, z29.d, uxtw] // st1h_z_p_ai_d 11100100110xxxxx101xxxxxxxxxxxxx E4D1B9D7 st1h {z23.d}, p6, [z14.d, #0x22] E4D5B61D st1h {z29.d}, p5, [z16.d, #0x2a] E4CAA37B st1h {z27.d}, p0, [z27.d, #0x14] E4DFA2B9 st1h {z25.d}, p0, [z21.d, #0x3e] E4C3A7BE st1h {z30.d}, p1, [z29.d, #0x6] E4D9B66A st1h {z10.d}, p5, [z19.d, #0x32] E4D4BEDC st1h {z28.d}, p7, [z22.d, #0x28] E4CCA30A st1h {z10.d}, p0, [z24.d, #0x18] E4C5B5D9 st1h {z25.d}, p5, [z14.d, #0xa] E4CDB212 st1h {z18.d}, p4, [z16.d, #0x1a] E4DBA50F st1h {z15.d}, p1, [z8.d, #0x36] E4D0AB97 st1h {z23.d}, p2, [z28.d, #0x20] E4C8B67F st1h {z31.d}, p5, [z19.d, #0x10] E4C2B5FB st1h {z27.d}, p5, [z15.d, #0x4] E4D7A5A7 st1h {z7.d}, p1, [z13.d, #0x2e] E4CCB584 st1h {z4.d}, p5, [z12.d, #0x18] // st1h_z_p_ai_s 11100100111xxxxx101xxxxxxxxxxxxx E4FDA4DE st1h {z30.s}, p1, [z6.s, #0x3a] E4FEAC54 st1h {z20.s}, p3, [z2.s, #0x3c] E4E6A2E5 st1h {z5.s}, p0, [z23.s, #0xc] E4EEBB88 st1h {z8.s}, p6, [z28.s, #0x1c] E4F3BEAC st1h {z12.s}, p7, [z21.s, #0x26] E4FEA0EF st1h {z15.s}, p0, [z7.s, #0x3c] E4FBB128 st1h {z8.s}, p4, [z9.s, #0x36] E4EAAF06 st1h {z6.s}, p3, [z24.s, #0x14] E4E8A4C6 st1h {z6.s}, p1, [z6.s, #0x10] E4F1A5C8 st1h {z8.s}, p1, [z14.s, #0x22] E4E4B5D3 st1h {z19.s}, p5, [z14.s, #0x8] E4F2A21B st1h {z27.s}, p0, [z16.s, #0x24] E4E8A113 st1h {z19.s}, p0, [z8.s, #0x10] E4E5A46B st1h {z11.s}, p1, [z3.s, #0xa] E4ECBBEC st1h {z12.s}, p6, [z31.s, #0x18] E4EAAF24 st1h {z4.s}, p3, [z25.s, #0x14] // st1h_z_p_bi_ 111001001xx0xxxx111xxxxxxxxxxxxx E4ABE55D st1h {z29.h}, p1, [x10, #-5, mul vl] E4A8FDF3 st1h {z19.h}, p7, [x15, #-8, mul vl] E4ACE3A1 st1h {z1.h}, p0, [x29, #-4, mul vl] E4A0EE81 st1h {z1.h}, p3, [x20] E4E9E6A4 st1h {z4.d}, p1, [x21, #-7, mul vl] E4A7E10C st1h {z12.h}, p0, [x8, #0x7, mul vl] E4A7E44F st1h {z15.h}, p1, [x2, #0x7, mul vl] E4C6FE9F st1h {z31.s}, p7, [x20, #0x6, mul vl] E4E7F2C8 st1h {z8.d}, p4, [x22, #0x7, mul vl] E4CDFFAF st1h {z15.s}, p7, [x29, #-3, mul vl] E4C9FEEC st1h {z12.s}, p7, [x23, #-7, mul vl] E4A7F667 st1h {z7.h}, p5, [x19, #0x7, mul vl] E4C4EDCE st1h {z14.s}, p3, [x14, #0x4, mul vl] E4E0F3BB st1h {z27.d}, p4, [x29] E4C3F9E6 st1h {z6.s}, p6, [x15, #0x3, mul vl] E4A8E81A st1h {z26.h}, p2, [x0, #-8, mul vl] // st1h_z_p_br_ 111001001xxxxxxx010xxxxxxxxxxxxx E4E557FA st1h {z26.d}, p5, [sp, x5, lsl #0x1] E4F2429F st1h {z31.d}, p0, [x20, x18, lsl #0x1] E4B54AF8 st1h {z24.h}, p2, [x23, x21, lsl #0x1] E4CE4918 st1h {z24.s}, p2, [x8, x14, lsl #0x1] E4C74B02 st1h {z2.s}, p2, [x24, x7, lsl #0x1] E4D94363 st1h {z3.s}, p0, [x27, x25, lsl #0x1] E4C0579B st1h {z27.s}, p5, [x28, x0, lsl #0x1] E4AB4E0A st1h {z10.h}, p3, [x16, x11, lsl #0x1] E4F450F8 st1h {z24.d}, p4, [x7, x20, lsl #0x1] E4EB417A st1h {z26.d}, p0, [x11, x11, lsl #0x1] E4D6466A st1h {z10.s}, p1, [x19, x22, lsl #0x1] E4C55AC3 st1h {z3.s}, p6, [x22, x5, lsl #0x1] E4E64835 st1h {z21.d}, p2, [x1, x6, lsl #0x1] E4B55C13 st1h {z19.h}, p7, [x0, x21, lsl #0x1] E4AE45D3 st1h {z19.h}, p1, [x14, x14, lsl #0x1] E4C456ED st1h {z13.s}, p5, [x23, x4, lsl #0x1] // st1h_z_p_bz_d_64_scaled 11100100101xxxxx101xxxxxxxxxxxxx E4A5A8DA st1h {z26.d}, p2, [x6, z5.d, lsl #0x1] E4ACB988 st1h {z8.d}, p6, [x12, z12.d, lsl #0x1] E4BAA80C st1h {z12.d}, p2, [x0, z26.d, lsl #0x1] E4A4AEE6 st1h {z6.d}, p3, [x23, z4.d, lsl #0x1] E4B5AAA9 st1h {z9.d}, p2, [x21, z21.d, lsl #0x1] E4ADAEE1 st1h {z1.d}, p3, [x23, z13.d, lsl #0x1] E4B3A864 st1h {z4.d}, p2, [x3, z19.d, lsl #0x1] E4B3A55C st1h {z28.d}, p1, [x10, z19.d, lsl #0x1] E4BAA2AA st1h {z10.d}, p0, [x21, z26.d, lsl #0x1] E4BDAEFF st1h {z31.d}, p3, [x23, z29.d, lsl #0x1] E4B0BA2B st1h {z11.d}, p6, [x17, z16.d, lsl #0x1] E4A7A4B5 st1h {z21.d}, p1, [x5, z7.d, lsl #0x1] E4A9AE3D st1h {z29.d}, p3, [x17, z9.d, lsl #0x1] E4A8BEF7 st1h {z23.d}, p7, [x23, z8.d, lsl #0x1] E4B6A9A9 st1h {z9.d}, p2, [x13, z22.d, lsl #0x1] E4A3A2C5 st1h {z5.d}, p0, [x22, z3.d, lsl #0x1] // st1h_z_p_bz_d_64_unscaled 11100100100xxxxx101xxxxxxxxxxxxx E49DA65B st1h {z27.d}, p1, [x18, z29.d] E499A91B st1h {z27.d}, p2, [x8, z25.d] E498B31C st1h {z28.d}, p4, [x24, z24.d] E48DA9D6 st1h {z22.d}, p2, [x14, z13.d] E49AA0AD st1h {z13.d}, p0, [x5, z26.d] E480B051 st1h {z17.d}, p4, [x2, z0.d] E48CBD60 st1h {z0.d}, p7, [x11, z12.d] E491BA71 st1h {z17.d}, p6, [x19, z17.d] E481A680 st1h {z0.d}, p1, [x20, z1.d] E49BAF81 st1h {z1.d}, p3, [x28, z27.d] E48AA021 st1h {z1.d}, p0, [x1, z10.d] E49EAB4E st1h {z14.d}, p2, [x26, z30.d] E482A159 st1h {z25.d}, p0, [x10, z2.d] E495BC75 st1h {z21.d}, p7, [x3, z21.d] E494B6B3 st1h {z19.d}, p5, [x21, z20.d] E48EBD44 st1h {z4.d}, p7, [x10, z14.d] // st1h_z_p_bz_d_x32_scaled 11100100101xxxxx1x0xxxxxxxxxxxxx E4AD8EA1 st1h {z1.d}, p3, [x21, z13.d, uxtw #0x1] E4B58F62 st1h {z2.d}, p3, [x27, z21.d, uxtw #0x1] E4A9809A st1h {z26.d}, p0, [x4, z9.d, uxtw #0x1] E4B1D1E4 st1h {z4.d}, p4, [x15, z17.d, sxtw #0x1] E4AAD6A4 st1h {z4.d}, p5, [x21, z10.d, sxtw #0x1] E4A48B27 st1h {z7.d}, p2, [x25, z4.d, uxtw #0x1] E4B3DB28 st1h {z8.d}, p6, [x25, z19.d, sxtw #0x1] E4A6C2CA st1h {z10.d}, p0, [x22, z6.d, sxtw #0x1] E4B9D271 st1h {z17.d}, p4, [x19, z25.d, sxtw #0x1] E4A6CA6C st1h {z12.d}, p2, [x19, z6.d, sxtw #0x1] E4B69D48 st1h {z8.d}, p7, [x10, z22.d, uxtw #0x1] E4BAC887 st1h {z7.d}, p2, [x4, z26.d, sxtw #0x1] E4AACA8E st1h {z14.d}, p2, [x20, z10.d, sxtw #0x1] E4B7D954 st1h {z20.d}, p6, [x10, z23.d, sxtw #0x1] E4B9D8C4 st1h {z4.d}, p6, [x6, z25.d, sxtw #0x1] E4A6CF63 st1h {z3.d}, p3, [x27, z6.d, sxtw #0x1] // st1h_z_p_bz_d_x32_unscaled 11100100100xxxxx1xxxxxxxxxxxxxxx E48ED7D4 st1h {z20.d}, p5, [x30, z14.d, sxtw] E497C284 st1h {z4.d}, p0, [x20, z23.d, sxtw] E48AD96F st1h {z15.d}, p6, [x11, z10.d, sxtw] E490983E st1h {z30.d}, p6, [x1, z16.d, uxtw] E49BD39E st1h {z30.d}, p4, [x28, z27.d, sxtw] E4988B9D st1h {z29.d}, p2, [x28, z24.d, uxtw] E483C548 st1h {z8.d}, p1, [x10, z3.d, sxtw] E49DDEBA st1h {z26.d}, p7, [x21, z29.d, sxtw] E489878A st1h {z10.d}, p1, [x28, z9.d, uxtw] E48F844C st1h {z12.d}, p1, [x2, z15.d, uxtw] E490DD2C st1h {z12.d}, p7, [x9, z16.d, sxtw] E497C02F st1h {z15.d}, p0, [x1, z23.d, sxtw] E4969A39 st1h {z25.d}, p6, [x17, z22.d, uxtw] E48CD791 st1h {z17.d}, p5, [x28, z12.d, sxtw] E494DA01 st1h {z1.d}, p6, [x16, z20.d, sxtw] E484849E st1h {z30.d}, p1, [x4, z4.d, uxtw] // st1h_z_p_bz_s_x32_scaled 11100100111xxxxx1x0xxxxxxxxxxxxx E4ED8402 st1h {z2.s}, p1, [x0, z13.s, uxtw #0x1] E4E890C0 st1h {z0.s}, p4, [x6, z8.s, uxtw #0x1] E4F4D52B st1h {z11.s}, p5, [x9, z20.s, sxtw #0x1] E4FBD4DB st1h {z27.s}, p5, [x6, z27.s, sxtw #0x1] E4E3D637 st1h {z23.s}, p5, [x17, z3.s, sxtw #0x1] E4F1C0EC st1h {z12.s}, p0, [x7, z17.s, sxtw #0x1] E4E39979 st1h {z25.s}, p6, [x11, z3.s, uxtw #0x1] E4EE9C4F st1h {z15.s}, p7, [x2, z14.s, uxtw #0x1] E4E7DDF0 st1h {z16.s}, p7, [x15, z7.s, sxtw #0x1] E4F29737 st1h {z23.s}, p5, [x25, z18.s, uxtw #0x1] E4E7CF27 st1h {z7.s}, p3, [x25, z7.s, sxtw #0x1] E4E597CC st1h {z12.s}, p5, [x30, z5.s, uxtw #0x1] E4FFD725 st1h {z5.s}, p5, [x25, z31.s, sxtw #0x1] E4EED01A st1h {z26.s}, p4, [x0, z14.s, sxtw #0x1] E4EB914B st1h {z11.s}, p4, [x10, z11.s, uxtw #0x1] E4EAD766 st1h {z6.s}, p5, [x27, z10.s, sxtw #0x1] // st1h_z_p_bz_s_x32_unscaled 11100100110xxxxx1x0xxxxxxxxxxxxx E4C5976F st1h {z15.s}, p5, [x27, z5.s, uxtw] E4C696A7 st1h {z7.s}, p5, [x21, z6.s, uxtw] E4DBDBA4 st1h {z4.s}, p6, [x29, z27.s, sxtw] E4D4DE23 st1h {z3.s}, p7, [x17, z20.s, sxtw] E4CF8844 st1h {z4.s}, p2, [x2, z15.s, uxtw] E4D1C870 st1h {z16.s}, p2, [x3, z17.s, sxtw] E4D8C322 st1h {z2.s}, p0, [x25, z24.s, sxtw] E4D28B35 st1h {z21.s}, p2, [x25, z18.s, uxtw] E4CBCAF8 st1h {z24.s}, p2, [x23, z11.s, sxtw] E4DFDAC8 st1h {z8.s}, p6, [x22, z31.s, sxtw] E4D98657 st1h {z23.s}, p1, [x18, z25.s, uxtw] E4C88F79 st1h {z25.s}, p3, [x27, z8.s, uxtw] E4D189DD st1h {z29.s}, p2, [x14, z17.s, uxtw] E4DDD459 st1h {z25.s}, p5, [x2, z29.s, sxtw] E4C299A7 st1h {z7.s}, p6, [x13, z2.s, uxtw] E4C2C370 st1h {z16.s}, p0, [x27, z2.s, sxtw] // st1w_z_p_ai_d 11100101010xxxxx101xxxxxxxxxxxxx E551BD7D st1w {z29.d}, p7, [z11.d, #0x44] E54DB52A st1w {z10.d}, p5, [z9.d, #0x34] E55BAA23 st1w {z3.d}, p2, [z17.d, #0x6c] E551BE30 st1w {z16.d}, p7, [z17.d, #0x44] E544B621 st1w {z1.d}, p5, [z17.d, #0x10] E553B0F6 st1w {z22.d}, p4, [z7.d, #0x4c] E549BCA8 st1w {z8.d}, p7, [z5.d, #0x24] E557BC98 st1w {z24.d}, p7, [z4.d, #0x5c] E553BBB1 st1w {z17.d}, p6, [z29.d, #0x4c] E559B725 st1w {z5.d}, p5, [z25.d, #0x64] E549B8D3 st1w {z19.d}, p6, [z6.d, #0x24] E551A9A3 st1w {z3.d}, p2, [z13.d, #0x44] E559B375 st1w {z21.d}, p4, [z27.d, #0x64] E542BAB6 st1w {z22.d}, p6, [z21.d, #0x8] E543BFB1 st1w {z17.d}, p7, [z29.d, #0xc] E550AAAA st1w {z10.d}, p2, [z21.d, #0x40] // st1w_z_p_ai_s 11100101011xxxxx101xxxxxxxxxxxxx E560B508 st1w {z8.s}, p5, [z8.s] E563B6C0 st1w {z0.s}, p5, [z22.s, #0xc] E578BC97 st1w {z23.s}, p7, [z4.s, #0x60] E56AB1AA st1w {z10.s}, p4, [z13.s, #0x28] E563ACD7 st1w {z23.s}, p3, [z6.s, #0xc] E56EB7B0 st1w {z16.s}, p5, [z29.s, #0x38] E57FAA21 st1w {z1.s}, p2, [z17.s, #0x7c] E56BA3FB st1w {z27.s}, p0, [z31.s, #0x2c] E568B89B st1w {z27.s}, p6, [z4.s, #0x20] E57FA5E1 st1w {z1.s}, p1, [z15.s, #0x7c] E579BAAF st1w {z15.s}, p6, [z21.s, #0x64] E564A1A4 st1w {z4.s}, p0, [z13.s, #0x10] E566AC17 st1w {z23.s}, p3, [z0.s, #0x18] E56DBE23 st1w {z3.s}, p7, [z17.s, #0x34] E579B0E5 st1w {z5.s}, p4, [z7.s, #0x64] E560ACF0 st1w {z16.s}, p3, [z7.s] // st1w_z_p_bi_ 1110010101x0xxxx111xxxxxxxxxxxxx E566EBE5 st1w {z5.d}, p2, [sp, #0x6, mul vl] E547FE23 st1w {z3.s}, p7, [x17, #0x7, mul vl] E543E8AD st1w {z13.s}, p2, [x5, #0x3, mul vl] E563E5E6 st1w {z6.d}, p1, [x15, #0x3, mul vl] E549E3C6 st1w {z6.s}, p0, [x30, #-7, mul vl] E54DEBD1 st1w {z17.s}, p2, [x30, #-3, mul vl] E54AF6D2 st1w {z18.s}, p5, [x22, #-6, mul vl] E54EEEED st1w {z13.s}, p3, [x23, #-2, mul vl] E541E7BD st1w {z29.s}, p1, [x29, #0x1, mul vl] E547FCCB st1w {z11.s}, p7, [x6, #0x7, mul vl] E544F0F6 st1w {z22.s}, p4, [x7, #0x4, mul vl] E56CE702 st1w {z2.d}, p1, [x24, #-4, mul vl] E560E204 st1w {z4.d}, p0, [x16] E54EE6B7 st1w {z23.s}, p1, [x21, #-2, mul vl] E54BE7E4 st1w {z4.s}, p1, [sp, #-5, mul vl] E540E261 st1w {z1.s}, p0, [x19] // st1w_z_p_br_ 1110010101xxxxxx010xxxxxxxxxxxxx E55C4AAB st1w {z11.s}, p2, [x21, x28, lsl #0x2] E5794427 st1w {z7.d}, p1, [x1, x25, lsl #0x2] E55658EE st1w {z14.s}, p6, [x7, x22, lsl #0x2] E55C5D66 st1w {z6.s}, p7, [x11, x28, lsl #0x2] E57642D4 st1w {z20.d}, p0, [x22, x22, lsl #0x2] E571496C st1w {z12.d}, p2, [x11, x17, lsl #0x2] E56351DD st1w {z29.d}, p4, [x14, x3, lsl #0x2] E5484EF9 st1w {z25.s}, p3, [x23, x8, lsl #0x2] E54C5A3D st1w {z29.s}, p6, [x17, x12, lsl #0x2] E54B5FB8 st1w {z24.s}, p7, [x29, x11, lsl #0x2] E55D4DE3 st1w {z3.s}, p3, [x15, x29, lsl #0x2] E5765DFF st1w {z31.d}, p7, [x15, x22, lsl #0x2] E54343C1 st1w {z1.s}, p0, [x30, x3, lsl #0x2] E575463C st1w {z28.d}, p1, [x17, x21, lsl #0x2] E54C5420 st1w {z0.s}, p5, [x1, x12, lsl #0x2] E55D5B90 st1w {z16.s}, p6, [x28, x29, lsl #0x2] // st1w_z_p_bz_d_64_scaled 11100101001xxxxx101xxxxxxxxxxxxx E525BBF9 st1w {z25.d}, p6, [sp, z5.d, lsl #0x2] E53AACAE st1w {z14.d}, p3, [x5, z26.d, lsl #0x2] E52CB156 st1w {z22.d}, p4, [x10, z12.d, lsl #0x2] E53FA730 st1w {z16.d}, p1, [x25, z31.d, lsl #0x2] E535A95A st1w {z26.d}, p2, [x10, z21.d, lsl #0x2] E524BCD7 st1w {z23.d}, p7, [x6, z4.d, lsl #0x2] E532BEA3 st1w {z3.d}, p7, [x21, z18.d, lsl #0x2] E539B6F3 st1w {z19.d}, p5, [x23, z25.d, lsl #0x2] E530A99D st1w {z29.d}, p2, [x12, z16.d, lsl #0x2] E535BCCA st1w {z10.d}, p7, [x6, z21.d, lsl #0x2] E53FA404 st1w {z4.d}, p1, [x0, z31.d, lsl #0x2] E532BD9B st1w {z27.d}, p7, [x12, z18.d, lsl #0x2] E53AB8D1 st1w {z17.d}, p6, [x6, z26.d, lsl #0x2] E52EBB6C st1w {z12.d}, p6, [x27, z14.d, lsl #0x2] E525ABB1 st1w {z17.d}, p2, [x29, z5.d, lsl #0x2] E52DA0DE st1w {z30.d}, p0, [x6, z13.d, lsl #0x2] // st1w_z_p_bz_d_64_unscaled 11100101000xxxxx101xxxxxxxxxxxxx E504A469 st1w {z9.d}, p1, [x3, z4.d] E507B9AE st1w {z14.d}, p6, [x13, z7.d] E501A7E6 st1w {z6.d}, p1, [sp, z1.d] E512A411 st1w {z17.d}, p1, [x0, z18.d] E50EB7B8 st1w {z24.d}, p5, [x29, z14.d] E512B7C6 st1w {z6.d}, p5, [x30, z18.d] E511AF29 st1w {z9.d}, p3, [x25, z17.d] E504B358 st1w {z24.d}, p4, [x26, z4.d] E516AF6A st1w {z10.d}, p3, [x27, z22.d] E508AD87 st1w {z7.d}, p3, [x12, z8.d] E519B6F1 st1w {z17.d}, p5, [x23, z25.d] E515A4B2 st1w {z18.d}, p1, [x5, z21.d] E517BB9F st1w {z31.d}, p6, [x28, z23.d] E511B30E st1w {z14.d}, p4, [x24, z17.d] E51FA9FC st1w {z28.d}, p2, [x15, z31.d] E517B7FC st1w {z28.d}, p5, [sp, z23.d] // st1w_z_p_bz_d_x32_scaled 11100101001xxxxx1xxxxxxxxxxxxxxx E53CC683 st1w {z3.d}, p1, [x20, z28.d, sxtw #0x2] E53AC6E5 st1w {z5.d}, p1, [x23, z26.d, sxtw #0x2] E5349D21 st1w {z1.d}, p7, [x9, z20.d, uxtw #0x2] E52BD51D st1w {z29.d}, p5, [x8, z11.d, sxtw #0x2] E52BD3F2 st1w {z18.d}, p4, [sp, z11.d, sxtw #0x2] E52F96D6 st1w {z22.d}, p5, [x22, z15.d, uxtw #0x2] E526C7B4 st1w {z20.d}, p1, [x29, z6.d, sxtw #0x2] E53C8681 st1w {z1.d}, p1, [x20, z28.d, uxtw #0x2] E52ECFD1 st1w {z17.d}, p3, [x30, z14.d, sxtw #0x2] E532C48C st1w {z12.d}, p1, [x4, z18.d, sxtw #0x2] E531C816 st1w {z22.d}, p2, [x0, z17.d, sxtw #0x2] E5359EE9 st1w {z9.d}, p7, [x23, z21.d, uxtw #0x2] E52CD1E0 st1w {z0.d}, p4, [x15, z12.d, sxtw #0x2] E524C44B st1w {z11.d}, p1, [x2, z4.d, sxtw #0x2] E523840B st1w {z11.d}, p1, [x0, z3.d, uxtw #0x2] E5359182 st1w {z2.d}, p4, [x12, z21.d, uxtw #0x2] // st1w_z_p_bz_d_x32_unscaled 11100101000xxxxx1xxxxxxxxxxxxxxx E5168BD1 st1w {z17.d}, p2, [x30, z22.d, uxtw] E5049326 st1w {z6.d}, p4, [x25, z4.d, uxtw] E510D579 st1w {z25.d}, p5, [x11, z16.d, sxtw] E513DCA5 st1w {z5.d}, p7, [x5, z19.d, sxtw] E5038DE9 st1w {z9.d}, p3, [x15, z3.d, uxtw] E517CD80 st1w {z0.d}, p3, [x12, z23.d, sxtw] E504DE54 st1w {z20.d}, p7, [x18, z4.d, sxtw] E5038CCD st1w {z13.d}, p3, [x6, z3.d, uxtw] E502C099 st1w {z25.d}, p0, [x4, z2.d, sxtw] E51F9833 st1w {z19.d}, p6, [x1, z31.d, uxtw] E519C9A3 st1w {z3.d}, p2, [x13, z25.d, sxtw] E50681D1 st1w {z17.d}, p0, [x14, z6.d, uxtw] E50F8072 st1w {z18.d}, p0, [x3, z15.d, uxtw] E5008105 st1w {z5.d}, p0, [x8, z0.d, uxtw] E506DB93 st1w {z19.d}, p6, [x28, z6.d, sxtw] E508C593 st1w {z19.d}, p1, [x12, z8.d, sxtw] // st1w_z_p_bz_s_x32_scaled 11100101011xxxxx1x0xxxxxxxxxxxxx E56E9A52 st1w {z18.s}, p6, [x18, z14.s, uxtw #0x2] E562C33D st1w {z29.s}, p0, [x25, z2.s, sxtw #0x2] E5668F0A st1w {z10.s}, p3, [x24, z6.s, uxtw #0x2] E5789A15 st1w {z21.s}, p6, [x16, z24.s, uxtw #0x2] E575C785 st1w {z5.s}, p1, [x28, z21.s, sxtw #0x2] E56E90C0 st1w {z0.s}, p4, [x6, z14.s, uxtw #0x2] E5689233 st1w {z19.s}, p4, [x17, z8.s, uxtw #0x2] E573C2F6 st1w {z22.s}, p0, [x23, z19.s, sxtw #0x2] E5639184 st1w {z4.s}, p4, [x12, z3.s, uxtw #0x2] E571D1D3 st1w {z19.s}, p4, [x14, z17.s, sxtw #0x2] E5759FC9 st1w {z9.s}, p7, [x30, z21.s, uxtw #0x2] E56692A8 st1w {z8.s}, p4, [x21, z6.s, uxtw #0x2] E570D5C3 st1w {z3.s}, p5, [x14, z16.s, sxtw #0x2] E5639021 st1w {z1.s}, p4, [x1, z3.s, uxtw #0x2] E56097F6 st1w {z22.s}, p5, [sp, z0.s, uxtw #0x2] E57F9072 st1w {z18.s}, p4, [x3, z31.s, uxtw #0x2] // st1w_z_p_bz_s_x32_unscaled 11100101010xxxxx1x0xxxxxxxxxxxxx E5438C4A st1w {z10.s}, p3, [x2, z3.s, uxtw] E545D213 st1w {z19.s}, p4, [x16, z5.s, sxtw] E55ED16C st1w {z12.s}, p4, [x11, z30.s, sxtw] E55B916D st1w {z13.s}, p4, [x11, z27.s, uxtw] E5509995 st1w {z21.s}, p6, [x12, z16.s, uxtw] E555D846 st1w {z6.s}, p6, [x2, z21.s, sxtw] E54DC174 st1w {z20.s}, p0, [x11, z13.s, sxtw] E54DD567 st1w {z7.s}, p5, [x11, z13.s, sxtw] E54B8D56 st1w {z22.s}, p3, [x10, z11.s, uxtw] E5428B82 st1w {z2.s}, p2, [x28, z2.s, uxtw] E55ACCA1 st1w {z1.s}, p3, [x5, z26.s, sxtw] E54493ED st1w {z13.s}, p4, [sp, z4.s, uxtw] E5409F3D st1w {z29.s}, p7, [x25, z0.s, uxtw] E550D7B4 st1w {z20.s}, p5, [x29, z16.s, sxtw] E54FCB57 st1w {z23.s}, p2, [x26, z15.s, sxtw] E5488DC0 st1w {z0.s}, p3, [x14, z8.s, uxtw] // st2b_z_p_bi_contiguous 111001000011xxxx111xxxxxxxxxxxxx E434F31A st2b {z26.b, z27.b}, p4, [x24, #0x8, mul vl] E437F5F1 st2b {z17.b, z18.b}, p5, [x15, #0xe, mul vl] E432EE42 st2b {z2.b, z3.b}, p3, [x18, #0x4, mul vl] E432E035 st2b {z21.b, z22.b}, p0, [x1, #0x4, mul vl] E43BE82B st2b {z11.b, z12.b}, p2, [x1, #-10, mul vl] E434F11B st2b {z27.b, z28.b}, p4, [x8, #0x8, mul vl] E43EF31F st2b {z31.b, z0.b}, p4, [x24, #-4, mul vl] E430FD95 st2b {z21.b, z22.b}, p7, [x12] E439FAA3 st2b {z3.b, z4.b}, p6, [x21, #-14, mul vl] E43CF99F st2b {z31.b, z0.b}, p6, [x12, #-8, mul vl] E43AEB34 st2b {z20.b, z21.b}, p2, [x25, #-12, mul vl] E43CF519 st2b {z25.b, z26.b}, p5, [x8, #-8, mul vl] E43DF0A3 st2b {z3.b, z4.b}, p4, [x5, #-6, mul vl] E438FB95 st2b {z21.b, z22.b}, p6, [x28, #-16, mul vl] E433F217 st2b {z23.b, z24.b}, p4, [x16, #0x6, mul vl] E43BE025 st2b {z5.b, z6.b}, p0, [x1, #-10, mul vl] // st2b_z_p_br_contiguous 11100100001xxxxx011xxxxxxxxxxxxx E433674E st2b {z14.b, z15.b}, p1, [x26, x19] E43373C3 st2b {z3.b, z4.b}, p4, [x30, x19] E436783F st2b {z31.b, z0.b}, p6, [x1, x22] E43464D0 st2b {z16.b, z17.b}, p1, [x6, x20] E42A61BA st2b {z26.b, z27.b}, p0, [x13, x10] E4206BF1 st2b {z17.b, z18.b}, p2, [sp, x0] E439625B st2b {z27.b, z28.b}, p0, [x18, x25] E4237918 st2b {z24.b, z25.b}, p6, [x8, x3] E4226A01 st2b {z1.b, z2.b}, p2, [x16, x2] E4247B58 st2b {z24.b, z25.b}, p6, [x26, x4] E4357A07 st2b {z7.b, z8.b}, p6, [x16, x21] E4236123 st2b {z3.b, z4.b}, p0, [x9, x3] E42F6F35 st2b {z21.b, z22.b}, p3, [x25, x15] E426734B st2b {z11.b, z12.b}, p4, [x26, x6] E4296B1B st2b {z27.b, z28.b}, p2, [x24, x9] E4236723 st2b {z3.b, z4.b}, p1, [x25, x3] // st2d_z_p_bi_contiguous 111001011011xxxx111xxxxxxxxxxxxx E5B0EB7F st2d {z31.d, z0.d}, p2, [x27] E5B7EE69 st2d {z9.d, z10.d}, p3, [x19, #0xe, mul vl] E5BDEC41 st2d {z1.d, z2.d}, p3, [x2, #-6, mul vl] E5BDEDE2 st2d {z2.d, z3.d}, p3, [x15, #-6, mul vl] E5B7FA5B st2d {z27.d, z28.d}, p6, [x18, #0xe, mul vl] E5B2FA22 st2d {z2.d, z3.d}, p6, [x17, #0x4, mul vl] E5B8F91B st2d {z27.d, z28.d}, p6, [x8, #-16, mul vl] E5B5EE06 st2d {z6.d, z7.d}, p3, [x16, #0xa, mul vl] E5B4FFB9 st2d {z25.d, z26.d}, p7, [x29, #0x8, mul vl] E5BAE5A8 st2d {z8.d, z9.d}, p1, [x13, #-12, mul vl] E5BAED3A st2d {z26.d, z27.d}, p3, [x9, #-12, mul vl] E5BFE014 st2d {z20.d, z21.d}, p0, [x0, #-2, mul vl] E5B8F80C st2d {z12.d, z13.d}, p6, [x0, #-16, mul vl] E5B9F87C st2d {z28.d, z29.d}, p6, [x3, #-14, mul vl] E5B9F3D1 st2d {z17.d, z18.d}, p4, [x30, #-14, mul vl] E5BCFA87 st2d {z7.d, z8.d}, p6, [x20, #-8, mul vl] // st2d_z_p_br_contiguous 11100101101xxxxx011xxxxxxxxxxxxx E5BB79BD st2d {z29.d, z30.d}, p6, [x13, x27, lsl #0x3] E5BE751C st2d {z28.d, z29.d}, p5, [x8, x30, lsl #0x3] E5AF6CE7 st2d {z7.d, z8.d}, p3, [x7, x15, lsl #0x3] E5B97B53 st2d {z19.d, z20.d}, p6, [x26, x25, lsl #0x3] E5AD7F57 st2d {z23.d, z24.d}, p7, [x26, x13, lsl #0x3] E5B57A1B st2d {z27.d, z28.d}, p6, [x16, x21, lsl #0x3] E5B46413 st2d {z19.d, z20.d}, p1, [x0, x20, lsl #0x3] E5B67BFA st2d {z26.d, z27.d}, p6, [sp, x22, lsl #0x3] E5BC76F7 st2d {z23.d, z24.d}, p5, [x23, x28, lsl #0x3] E5AF6950 st2d {z16.d, z17.d}, p2, [x10, x15, lsl #0x3] E5BA79BD st2d {z29.d, z30.d}, p6, [x13, x26, lsl #0x3] E5AD6266 st2d {z6.d, z7.d}, p0, [x19, x13, lsl #0x3] E5A967D7 st2d {z23.d, z24.d}, p1, [x30, x9, lsl #0x3] E5A76C22 st2d {z2.d, z3.d}, p3, [x1, x7, lsl #0x3] E5A77394 st2d {z20.d, z21.d}, p4, [x28, x7, lsl #0x3] E5A97078 st2d {z24.d, z25.d}, p4, [x3, x9, lsl #0x3] // st2h_z_p_bi_contiguous 111001001011xxxx111xxxxxxxxxxxxx E4BBECC0 st2h {z0.h, z1.h}, p3, [x6, #-10, mul vl] E4B4FD99 st2h {z25.h, z26.h}, p7, [x12, #0x8, mul vl] E4BCF92D st2h {z13.h, z14.h}, p6, [x9, #-8, mul vl] E4B9F65B st2h {z27.h, z28.h}, p5, [x18, #-14, mul vl] E4B3EE88 st2h {z8.h, z9.h}, p3, [x20, #0x6, mul vl] E4B0E53C st2h {z28.h, z29.h}, p1, [x9] E4B9E1B6 st2h {z22.h, z23.h}, p0, [x13, #-14, mul vl] E4BCF157 st2h {z23.h, z24.h}, p4, [x10, #-8, mul vl] E4B7F2D6 st2h {z22.h, z23.h}, p4, [x22, #0xe, mul vl] E4B6E8C2 st2h {z2.h, z3.h}, p2, [x6, #0xc, mul vl] E4BFF3F5 st2h {z21.h, z22.h}, p4, [sp, #-2, mul vl] E4B3E082 st2h {z2.h, z3.h}, p0, [x4, #0x6, mul vl] E4B9E72A st2h {z10.h, z11.h}, p1, [x25, #-14, mul vl] E4B3E060 st2h {z0.h, z1.h}, p0, [x3, #0x6, mul vl] E4BEFCEE st2h {z14.h, z15.h}, p7, [x7, #-4, mul vl] E4BFFB4A st2h {z10.h, z11.h}, p6, [x26, #-2, mul vl] // st2h_z_p_br_contiguous 11100100101xxxxx011xxxxxxxxxxxxx E4B27EC6 st2h {z6.h, z7.h}, p7, [x22, x18, lsl #0x1] E4AB7165 st2h {z5.h, z6.h}, p4, [x11, x11, lsl #0x1] E4B460B0 st2h {z16.h, z17.h}, p0, [x5, x20, lsl #0x1] E4BC6AFA st2h {z26.h, z27.h}, p2, [x23, x28, lsl #0x1] E4B07719 st2h {z25.h, z26.h}, p5, [x24, x16, lsl #0x1] E4BD731F st2h {z31.h, z0.h}, p4, [x24, x29, lsl #0x1] E4B57C7D st2h {z29.h, z30.h}, p7, [x3, x21, lsl #0x1] E4B96D0C st2h {z12.h, z13.h}, p3, [x8, x25, lsl #0x1] E4B97847 st2h {z7.h, z8.h}, p6, [x2, x25, lsl #0x1] E4A06E2F st2h {z15.h, z16.h}, p3, [x17, x0, lsl #0x1] E4BE77EB st2h {z11.h, z12.h}, p5, [sp, x30, lsl #0x1] E4B36DE1 st2h {z1.h, z2.h}, p3, [x15, x19, lsl #0x1] E4B57EB0 st2h {z16.h, z17.h}, p7, [x21, x21, lsl #0x1] E4B1609F st2h {z31.h, z0.h}, p0, [x4, x17, lsl #0x1] E4AB769D st2h {z29.h, z30.h}, p5, [x20, x11, lsl #0x1] E4BE61CC st2h {z12.h, z13.h}, p0, [x14, x30, lsl #0x1] // st2w_z_p_bi_contiguous 111001010011xxxx111xxxxxxxxxxxxx E538F0CF st2w {z15.s, z16.s}, p4, [x6, #-16, mul vl] E53BE965 st2w {z5.s, z6.s}, p2, [x11, #-10, mul vl] E533F304 st2w {z4.s, z5.s}, p4, [x24, #0x6, mul vl] E539E9CA st2w {z10.s, z11.s}, p2, [x14, #-14, mul vl] E535E1C7 st2w {z7.s, z8.s}, p0, [x14, #0xa, mul vl] E538F6D1 st2w {z17.s, z18.s}, p5, [x22, #-16, mul vl] E532E673 st2w {z19.s, z20.s}, p1, [x19, #0x4, mul vl] E53FECA9 st2w {z9.s, z10.s}, p3, [x5, #-2, mul vl] E531E2AA st2w {z10.s, z11.s}, p0, [x21, #0x2, mul vl] E535E482 st2w {z2.s, z3.s}, p1, [x4, #0xa, mul vl] E53AF6DD st2w {z29.s, z30.s}, p5, [x22, #-12, mul vl] E534E53C st2w {z28.s, z29.s}, p1, [x9, #0x8, mul vl] E531F988 st2w {z8.s, z9.s}, p6, [x12, #0x2, mul vl] E53DF375 st2w {z21.s, z22.s}, p4, [x27, #-6, mul vl] E531ED11 st2w {z17.s, z18.s}, p3, [x8, #0x2, mul vl] E53DFEB5 st2w {z21.s, z22.s}, p7, [x21, #-6, mul vl] // st2w_z_p_br_contiguous 11100101001xxxxx011xxxxxxxxxxxxx E52A67FD st2w {z29.s, z30.s}, p1, [sp, x10, lsl #0x2] E525757B st2w {z27.s, z28.s}, p5, [x11, x5, lsl #0x2] E53761EA st2w {z10.s, z11.s}, p0, [x15, x23, lsl #0x2] E536619A st2w {z26.s, z27.s}, p0, [x12, x22, lsl #0x2] E5206030 st2w {z16.s, z17.s}, p0, [x1, x0, lsl #0x2] E52D62E3 st2w {z3.s, z4.s}, p0, [x23, x13, lsl #0x2] E5217F7C st2w {z28.s, z29.s}, p7, [x27, x1, lsl #0x2] E529694A st2w {z10.s, z11.s}, p2, [x10, x9, lsl #0x2] E5356C5C st2w {z28.s, z29.s}, p3, [x2, x21, lsl #0x2] E5327318 st2w {z24.s, z25.s}, p4, [x24, x18, lsl #0x2] E52C6CD1 st2w {z17.s, z18.s}, p3, [x6, x12, lsl #0x2] E53A7189 st2w {z9.s, z10.s}, p4, [x12, x26, lsl #0x2] E52D7A7A st2w {z26.s, z27.s}, p6, [x19, x13, lsl #0x2] E53278EE st2w {z14.s, z15.s}, p6, [x7, x18, lsl #0x2] E527703D st2w {z29.s, z30.s}, p4, [x1, x7, lsl #0x2] E520711E st2w {z30.s, z31.s}, p4, [x8, x0, lsl #0x2] // st3b_z_p_bi_contiguous 111001000101xxxx111xxxxxxxxxxxxx E45BEE07 st3b {z7.b, z8.b, z9.b}, p3, [x16, #-15, mul vl] E458F005 st3b {z5.b, z6.b, z7.b}, p4, [x0, #-24, mul vl] E459ED1A st3b {z26.b, z27.b, z28.b}, p3, [x8, #-21, mul vl] E45CEF16 st3b {z22.b, z23.b, z24.b}, p3, [x24, #-12, mul vl] E45BEED8 st3b {z24.b, z25.b, z26.b}, p3, [x22, #-15, mul vl] E45CEBAA st3b {z10.b, z11.b, z12.b}, p2, [x29, #-12, mul vl] E450F702 st3b {z2.b, z3.b, z4.b}, p5, [x24] E45AF260 st3b {z0.b, z1.b, z2.b}, p4, [x19, #-18, mul vl] E451E664 st3b {z4.b, z5.b, z6.b}, p1, [x19, #0x3, mul vl] E451E66C st3b {z12.b, z13.b, z14.b}, p1, [x19, #0x3, mul vl] E45EECEF st3b {z15.b, z16.b, z17.b}, p3, [x7, #-6, mul vl] E45FF8A8 st3b {z8.b, z9.b, z10.b}, p6, [x5, #-3, mul vl] E458EE20 st3b {z0.b, z1.b, z2.b}, p3, [x17, #-24, mul vl] E45AEA08 st3b {z8.b, z9.b, z10.b}, p2, [x16, #-18, mul vl] E458F49D st3b {z29.b, z30.b, z31.b}, p5, [x4, #-24, mul vl] E456E558 st3b {z24.b, z25.b, z26.b}, p1, [x10, #0x12, mul vl] // st3b_z_p_br_contiguous 11100100010xxxxx011xxxxxxxxxxxxx E44B6DA7 st3b {z7.b, z8.b, z9.b}, p3, [x13, x11] E4556784 st3b {z4.b, z5.b, z6.b}, p1, [x28, x21] E453722E st3b {z14.b, z15.b, z16.b}, p4, [x17, x19] E4506AF8 st3b {z24.b, z25.b, z26.b}, p2, [x23, x16] E4446E60 st3b {z0.b, z1.b, z2.b}, p3, [x19, x4] E45E7203 st3b {z3.b, z4.b, z5.b}, p4, [x16, x30] E440703E st3b {z30.b, z31.b, z0.b}, p4, [x1, x0] E4527A5F st3b {z31.b, z0.b, z1.b}, p6, [x18, x18] E45D60DD st3b {z29.b, z30.b, z31.b}, p0, [x6, x29] E4446768 st3b {z8.b, z9.b, z10.b}, p1, [x27, x4] E45D6022 st3b {z2.b, z3.b, z4.b}, p0, [x1, x29] E45A620E st3b {z14.b, z15.b, z16.b}, p0, [x16, x26] E450668B st3b {z11.b, z12.b, z13.b}, p1, [x20, x16] E45B6CAD st3b {z13.b, z14.b, z15.b}, p3, [x5, x27] E4427CED st3b {z13.b, z14.b, z15.b}, p7, [x7, x2] E4417537 st3b {z23.b, z24.b, z25.b}, p5, [x9, x1] // st3d_z_p_bi_contiguous 111001011101xxxx111xxxxxxxxxxxxx E5D9F1D2 st3d {z18.d, z19.d, z20.d}, p4, [x14, #-21, mul vl] E5DAFA71 st3d {z17.d, z18.d, z19.d}, p6, [x19, #-18, mul vl] E5D5EA59 st3d {z25.d, z26.d, z27.d}, p2, [x18, #0xf, mul vl] E5D0EB49 st3d {z9.d, z10.d, z11.d}, p2, [x26] E5DDF27B st3d {z27.d, z28.d, z29.d}, p4, [x19, #-9, mul vl] E5DAE152 st3d {z18.d, z19.d, z20.d}, p0, [x10, #-18, mul vl] E5DEE019 st3d {z25.d, z26.d, z27.d}, p0, [x0, #-6, mul vl] E5D0F026 st3d {z6.d, z7.d, z8.d}, p4, [x1] E5D1E2E5 st3d {z5.d, z6.d, z7.d}, p0, [x23, #0x3, mul vl] E5DCE0B3 st3d {z19.d, z20.d, z21.d}, p0, [x5, #-12, mul vl] E5DDEB15 st3d {z21.d, z22.d, z23.d}, p2, [x24, #-9, mul vl] E5DEE809 st3d {z9.d, z10.d, z11.d}, p2, [x0, #-6, mul vl] E5D4F8C8 st3d {z8.d, z9.d, z10.d}, p6, [x6, #0xc, mul vl] E5DBEDCA st3d {z10.d, z11.d, z12.d}, p3, [x14, #-15, mul vl] E5D9E0CA st3d {z10.d, z11.d, z12.d}, p0, [x6, #-21, mul vl] E5DDFFB5 st3d {z21.d, z22.d, z23.d}, p7, [x29, #-9, mul vl] // st3d_z_p_br_contiguous 11100101110xxxxx011xxxxxxxxxxxxx E5C77035 st3d {z21.d, z22.d, z23.d}, p4, [x1, x7, lsl #0x3] E5D87877 st3d {z23.d, z24.d, z25.d}, p6, [x3, x24, lsl #0x3] E5DE70D6 st3d {z22.d, z23.d, z24.d}, p4, [x6, x30, lsl #0x3] E5C07EE4 st3d {z4.d, z5.d, z6.d}, p7, [x23, x0, lsl #0x3] E5C363A1 st3d {z1.d, z2.d, z3.d}, p0, [x29, x3, lsl #0x3] E5CB736A st3d {z10.d, z11.d, z12.d}, p4, [x27, x11, lsl #0x3] E5D97C73 st3d {z19.d, z20.d, z21.d}, p7, [x3, x25, lsl #0x3] E5C87208 st3d {z8.d, z9.d, z10.d}, p4, [x16, x8, lsl #0x3] E5C06DCB st3d {z11.d, z12.d, z13.d}, p3, [x14, x0, lsl #0x3] E5D46761 st3d {z1.d, z2.d, z3.d}, p1, [x27, x20, lsl #0x3] E5CB7C67 st3d {z7.d, z8.d, z9.d}, p7, [x3, x11, lsl #0x3] E5D1774F st3d {z15.d, z16.d, z17.d}, p5, [x26, x17, lsl #0x3] E5D36891 st3d {z17.d, z18.d, z19.d}, p2, [x4, x19, lsl #0x3] E5CA651E st3d {z30.d, z31.d, z0.d}, p1, [x8, x10, lsl #0x3] E5C66133 st3d {z19.d, z20.d, z21.d}, p0, [x9, x6, lsl #0x3] E5C36F9A st3d {z26.d, z27.d, z28.d}, p3, [x28, x3, lsl #0x3] // st3h_z_p_bi_contiguous 111001001101xxxx111xxxxxxxxxxxxx E4D2ED64 st3h {z4.h, z5.h, z6.h}, p3, [x11, #0x6, mul vl] E4DDF0AD st3h {z13.h, z14.h, z15.h}, p4, [x5, #-9, mul vl] E4DEE680 st3h {z0.h, z1.h, z2.h}, p1, [x20, #-6, mul vl] E4D1E9E4 st3h {z4.h, z5.h, z6.h}, p2, [x15, #0x3, mul vl] E4D4E417 st3h {z23.h, z24.h, z25.h}, p1, [x0, #0xc, mul vl] E4DEEE83 st3h {z3.h, z4.h, z5.h}, p3, [x20, #-6, mul vl] E4D1ED5D st3h {z29.h, z30.h, z31.h}, p3, [x10, #0x3, mul vl] E4DDE4AF st3h {z15.h, z16.h, z17.h}, p1, [x5, #-9, mul vl] E4DFE961 st3h {z1.h, z2.h, z3.h}, p2, [x11, #-3, mul vl] E4D7F7E9 st3h {z9.h, z10.h, z11.h}, p5, [sp, #0x15, mul vl] E4D3F171 st3h {z17.h, z18.h, z19.h}, p4, [x11, #0x9, mul vl] E4D0F54E st3h {z14.h, z15.h, z16.h}, p5, [x10] E4D3ED8C st3h {z12.h, z13.h, z14.h}, p3, [x12, #0x9, mul vl] E4DCEB74 st3h {z20.h, z21.h, z22.h}, p2, [x27, #-12, mul vl] E4D2FE89 st3h {z9.h, z10.h, z11.h}, p7, [x20, #0x6, mul vl] E4DBF4F8 st3h {z24.h, z25.h, z26.h}, p5, [x7, #-15, mul vl] // st3h_z_p_br_contiguous 11100100110xxxxx011xxxxxxxxxxxxx E4CA7E6D st3h {z13.h, z14.h, z15.h}, p7, [x19, x10, lsl #0x1] E4C17520 st3h {z0.h, z1.h, z2.h}, p5, [x9, x1, lsl #0x1] E4C86270 st3h {z16.h, z17.h, z18.h}, p0, [x19, x8, lsl #0x1] E4C17A45 st3h {z5.h, z6.h, z7.h}, p6, [x18, x1, lsl #0x1] E4C468B4 st3h {z20.h, z21.h, z22.h}, p2, [x5, x4, lsl #0x1] E4D07F0B st3h {z11.h, z12.h, z13.h}, p7, [x24, x16, lsl #0x1] E4C47777 st3h {z23.h, z24.h, z25.h}, p5, [x27, x4, lsl #0x1] E4D96979 st3h {z25.h, z26.h, z27.h}, p2, [x11, x25, lsl #0x1] E4CF6380 st3h {z0.h, z1.h, z2.h}, p0, [x28, x15, lsl #0x1] E4CF7544 st3h {z4.h, z5.h, z6.h}, p5, [x10, x15, lsl #0x1] E4C8786E st3h {z14.h, z15.h, z16.h}, p6, [x3, x8, lsl #0x1] E4DC7336 st3h {z22.h, z23.h, z24.h}, p4, [x25, x28, lsl #0x1] E4C17CC1 st3h {z1.h, z2.h, z3.h}, p7, [x6, x1, lsl #0x1] E4C07597 st3h {z23.h, z24.h, z25.h}, p5, [x12, x0, lsl #0x1] E4DE6409 st3h {z9.h, z10.h, z11.h}, p1, [x0, x30, lsl #0x1] E4C866AE st3h {z14.h, z15.h, z16.h}, p1, [x21, x8, lsl #0x1] // st3w_z_p_bi_contiguous 111001010101xxxx111xxxxxxxxxxxxx E55CE933 st3w {z19.s, z20.s, z21.s}, p2, [x9, #-12, mul vl] E550EC4F st3w {z15.s, z16.s, z17.s}, p3, [x2] E553E40D st3w {z13.s, z14.s, z15.s}, p1, [x0, #0x9, mul vl] E558FD24 st3w {z4.s, z5.s, z6.s}, p7, [x9, #-24, mul vl] E554E53F st3w {z31.s, z0.s, z1.s}, p1, [x9, #0xc, mul vl] E553FE6A st3w {z10.s, z11.s, z12.s}, p7, [x19, #0x9, mul vl] E550E178 st3w {z24.s, z25.s, z26.s}, p0, [x11] E551ED6A st3w {z10.s, z11.s, z12.s}, p3, [x11, #0x3, mul vl] E55CFCCC st3w {z12.s, z13.s, z14.s}, p7, [x6, #-12, mul vl] E55DE690 st3w {z16.s, z17.s, z18.s}, p1, [x20, #-9, mul vl] E551FDA2 st3w {z2.s, z3.s, z4.s}, p7, [x13, #0x3, mul vl] E550F79A st3w {z26.s, z27.s, z28.s}, p5, [x28] E552FBDE st3w {z30.s, z31.s, z0.s}, p6, [x30, #0x6, mul vl] E55BEEAD st3w {z13.s, z14.s, z15.s}, p3, [x21, #-15, mul vl] E55AFEFA st3w {z26.s, z27.s, z28.s}, p7, [x23, #-18, mul vl] E551FEAE st3w {z14.s, z15.s, z16.s}, p7, [x21, #0x3, mul vl] // st3w_z_p_br_contiguous 11100101010xxxxx011xxxxxxxxxxxxx E54E6E22 st3w {z2.s, z3.s, z4.s}, p3, [x17, x14, lsl #0x2] E5566B91 st3w {z17.s, z18.s, z19.s}, p2, [x28, x22, lsl #0x2] E5576A8A st3w {z10.s, z11.s, z12.s}, p2, [x20, x23, lsl #0x2] E55860A8 st3w {z8.s, z9.s, z10.s}, p0, [x5, x24, lsl #0x2] E5466F70 st3w {z16.s, z17.s, z18.s}, p3, [x27, x6, lsl #0x2] E55B63E8 st3w {z8.s, z9.s, z10.s}, p0, [sp, x27, lsl #0x2] E54160D9 st3w {z25.s, z26.s, z27.s}, p0, [x6, x1, lsl #0x2] E55170A6 st3w {z6.s, z7.s, z8.s}, p4, [x5, x17, lsl #0x2] E55E7D61 st3w {z1.s, z2.s, z3.s}, p7, [x11, x30, lsl #0x2] E5526F7C st3w {z28.s, z29.s, z30.s}, p3, [x27, x18, lsl #0x2] E5467A20 st3w {z0.s, z1.s, z2.s}, p6, [x17, x6, lsl #0x2] E55D6559 st3w {z25.s, z26.s, z27.s}, p1, [x10, x29, lsl #0x2] E54C7EEF st3w {z15.s, z16.s, z17.s}, p7, [x23, x12, lsl #0x2] E55B7091 st3w {z17.s, z18.s, z19.s}, p4, [x4, x27, lsl #0x2] E54D6739 st3w {z25.s, z26.s, z27.s}, p1, [x25, x13, lsl #0x2] E54C656C st3w {z12.s, z13.s, z14.s}, p1, [x11, x12, lsl #0x2] // st4b_z_p_bi_contiguous 111001000111xxxx111xxxxxxxxxxxxx E471EC02 st4b {z2.b, z3.b, z4.b, z5.b}, p3, [x0, #0x4, mul vl] E47AF0DD st4b {z29.b, z30.b, z31.b, z0.b}, p4, [x6, #-24, mul vl] E475F74F st4b {z15.b, z16.b, z17.b, z18.b}, p5, [x26, #0x14, mul vl] E477E94E st4b {z14.b, z15.b, z16.b, z17.b}, p2, [x10, #0x1c, mul vl] E474F4EF st4b {z15.b, z16.b, z17.b, z18.b}, p5, [x7, #0x10, mul vl] E47CF86F st4b {z15.b, z16.b, z17.b, z18.b}, p6, [x3, #-16, mul vl] E47BE34F st4b {z15.b, z16.b, z17.b, z18.b}, p0, [x26, #-20, mul vl] E470E6CC st4b {z12.b, z13.b, z14.b, z15.b}, p1, [x22] E47BEB0B st4b {z11.b, z12.b, z13.b, z14.b}, p2, [x24, #-20, mul vl] E47CF589 st4b {z9.b, z10.b, z11.b, z12.b}, p5, [x12, #-16, mul vl] E47FEF21 st4b {z1.b, z2.b, z3.b, z4.b}, p3, [x25, #-4, mul vl] E475EF52 st4b {z18.b, z19.b, z20.b, z21.b}, p3, [x26, #0x14, mul vl] E472F4E7 st4b {z7.b, z8.b, z9.b, z10.b}, p5, [x7, #0x8, mul vl] E476F3D6 st4b {z22.b, z23.b, z24.b, z25.b}, p4, [x30, #0x18, mul vl] E475F630 st4b {z16.b, z17.b, z18.b, z19.b}, p5, [x17, #0x14, mul vl] E478EFBF st4b {z31.b, z0.b, z1.b, z2.b}, p3, [x29, #-32, mul vl] // st4b_z_p_br_contiguous 11100100011xxxxx011xxxxxxxxxxxxx E4706B46 st4b {z6.b, z7.b, z8.b, z9.b}, p2, [x26, x16] E46E6887 st4b {z7.b, z8.b, z9.b, z10.b}, p2, [x4, x14] E46D7799 st4b {z25.b, z26.b, z27.b, z28.b}, p5, [x28, x13] E46A7DA9 st4b {z9.b, z10.b, z11.b, z12.b}, p7, [x13, x10] E46C6BE6 st4b {z6.b, z7.b, z8.b, z9.b}, p2, [sp, x12] E4626FCE st4b {z14.b, z15.b, z16.b, z17.b}, p3, [x30, x2] E47E62A8 st4b {z8.b, z9.b, z10.b, z11.b}, p0, [x21, x30] E46C613F st4b {z31.b, z0.b, z1.b, z2.b}, p0, [x9, x12] E47476BA st4b {z26.b, z27.b, z28.b, z29.b}, p5, [x21, x20] E4666473 st4b {z19.b, z20.b, z21.b, z22.b}, p1, [x3, x6] E46C6AC3 st4b {z3.b, z4.b, z5.b, z6.b}, p2, [x22, x12] E4707CA0 st4b {z0.b, z1.b, z2.b, z3.b}, p7, [x5, x16] E47E664C st4b {z12.b, z13.b, z14.b, z15.b}, p1, [x18, x30] E46A7FB5 st4b {z21.b, z22.b, z23.b, z24.b}, p7, [x29, x10] E4637AFA st4b {z26.b, z27.b, z28.b, z29.b}, p6, [x23, x3] E47A62EF st4b {z15.b, z16.b, z17.b, z18.b}, p0, [x23, x26] // st4d_z_p_bi_contiguous 111001011111xxxx111xxxxxxxxxxxxx E5FBE983 st4d {z3.d, z4.d, z5.d, z6.d}, p2, [x12, #-20, mul vl] E5FDFDDC st4d {z28.d, z29.d, z30.d, z31.d}, p7, [x14, #-12, mul vl] E5F2E601 st4d {z1.d, z2.d, z3.d, z4.d}, p1, [x16, #0x8, mul vl] E5F5FF5F st4d {z31.d, z0.d, z1.d, z2.d}, p7, [x26, #0x14, mul vl] E5F8E140 st4d {z0.d, z1.d, z2.d, z3.d}, p0, [x10, #-32, mul vl] E5FBE396 st4d {z22.d, z23.d, z24.d, z25.d}, p0, [x28, #-20, mul vl] E5FEE185 st4d {z5.d, z6.d, z7.d, z8.d}, p0, [x12, #-8, mul vl] E5FCEA1B st4d {z27.d, z28.d, z29.d, z30.d}, p2, [x16, #-16, mul vl] E5F0F95B st4d {z27.d, z28.d, z29.d, z30.d}, p6, [x10] E5F4F0FF st4d {z31.d, z0.d, z1.d, z2.d}, p4, [x7, #0x10, mul vl] E5F2E5F5 st4d {z21.d, z22.d, z23.d, z24.d}, p1, [x15, #0x8, mul vl] E5F3EC4B st4d {z11.d, z12.d, z13.d, z14.d}, p3, [x2, #0xc, mul vl] E5F0F1A2 st4d {z2.d, z3.d, z4.d, z5.d}, p4, [x13] E5F2F5F3 st4d {z19.d, z20.d, z21.d, z22.d}, p5, [x15, #0x8, mul vl] E5F7E411 st4d {z17.d, z18.d, z19.d, z20.d}, p1, [x0, #0x1c, mul vl] E5FEFEBD st4d {z29.d, z30.d, z31.d, z0.d}, p7, [x21, #-8, mul vl] // st4d_z_p_br_contiguous 11100101111xxxxx011xxxxxxxxxxxxx E5F37D7E st4d {z30.d, z31.d, z0.d, z1.d}, p7, [x11, x19, lsl #0x3] E5EB6102 st4d {z2.d, z3.d, z4.d, z5.d}, p0, [x8, x11, lsl #0x3] E5E16D91 st4d {z17.d, z18.d, z19.d, z20.d}, p3, [x12, x1, lsl #0x3] E5EE7CAA st4d {z10.d, z11.d, z12.d, z13.d}, p7, [x5, x14, lsl #0x3] E5E27130 st4d {z16.d, z17.d, z18.d, z19.d}, p4, [x9, x2, lsl #0x3] E5F17885 st4d {z5.d, z6.d, z7.d, z8.d}, p6, [x4, x17, lsl #0x3] E5E46B2E st4d {z14.d, z15.d, z16.d, z17.d}, p2, [x25, x4, lsl #0x3] E5FC7BB8 st4d {z24.d, z25.d, z26.d, z27.d}, p6, [x29, x28, lsl #0x3] E5FE6BCE st4d {z14.d, z15.d, z16.d, z17.d}, p2, [x30, x30, lsl #0x3] E5F778FD st4d {z29.d, z30.d, z31.d, z0.d}, p6, [x7, x23, lsl #0x3] E5E468AC st4d {z12.d, z13.d, z14.d, z15.d}, p2, [x5, x4, lsl #0x3] E5F76F84 st4d {z4.d, z5.d, z6.d, z7.d}, p3, [x28, x23, lsl #0x3] E5FC717E st4d {z30.d, z31.d, z0.d, z1.d}, p4, [x11, x28, lsl #0x3] E5F16E27 st4d {z7.d, z8.d, z9.d, z10.d}, p3, [x17, x17, lsl #0x3] E5E07317 st4d {z23.d, z24.d, z25.d, z26.d}, p4, [x24, x0, lsl #0x3] E5E36A5D st4d {z29.d, z30.d, z31.d, z0.d}, p2, [x18, x3, lsl #0x3] // st4h_z_p_bi_contiguous 111001001111xxxx111xxxxxxxxxxxxx E4FEF591 st4h {z17.h, z18.h, z19.h, z20.h}, p5, [x12, #-8, mul vl] E4F6F55B st4h {z27.h, z28.h, z29.h, z30.h}, p5, [x10, #0x18, mul vl] E4F6FED2 st4h {z18.h, z19.h, z20.h, z21.h}, p7, [x22, #0x18, mul vl] E4FCF45E st4h {z30.h, z31.h, z0.h, z1.h}, p5, [x2, #-16, mul vl] E4FAFCE2 st4h {z2.h, z3.h, z4.h, z5.h}, p7, [x7, #-24, mul vl] E4F9E5F6 st4h {z22.h, z23.h, z24.h, z25.h}, p1, [x15, #-28, mul vl] E4FEFFB5 st4h {z21.h, z22.h, z23.h, z24.h}, p7, [x29, #-8, mul vl] E4FFFF40 st4h {z0.h, z1.h, z2.h, z3.h}, p7, [x26, #-4, mul vl] E4F6FEC4 st4h {z4.h, z5.h, z6.h, z7.h}, p7, [x22, #0x18, mul vl] E4F3E05D st4h {z29.h, z30.h, z31.h, z0.h}, p0, [x2, #0xc, mul vl] E4F4EDC4 st4h {z4.h, z5.h, z6.h, z7.h}, p3, [x14, #0x10, mul vl] E4F8E590 st4h {z16.h, z17.h, z18.h, z19.h}, p1, [x12, #-32, mul vl] E4F3FBBD st4h {z29.h, z30.h, z31.h, z0.h}, p6, [x29, #0xc, mul vl] E4FFEAF7 st4h {z23.h, z24.h, z25.h, z26.h}, p2, [x23, #-4, mul vl] E4F3E29A st4h {z26.h, z27.h, z28.h, z29.h}, p0, [x20, #0xc, mul vl] E4F7FB2F st4h {z15.h, z16.h, z17.h, z18.h}, p6, [x25, #0x1c, mul vl] // st4h_z_p_br_contiguous 11100100111xxxxx011xxxxxxxxxxxxx E4E262BA st4h {z26.h, z27.h, z28.h, z29.h}, p0, [x21, x2, lsl #0x1] E4E67EE8 st4h {z8.h, z9.h, z10.h, z11.h}, p7, [x23, x6, lsl #0x1] E4F4775F st4h {z31.h, z0.h, z1.h, z2.h}, p5, [x26, x20, lsl #0x1] E4E86CE8 st4h {z8.h, z9.h, z10.h, z11.h}, p3, [x7, x8, lsl #0x1] E4EA73B7 st4h {z23.h, z24.h, z25.h, z26.h}, p4, [x29, x10, lsl #0x1] E4EE67DD st4h {z29.h, z30.h, z31.h, z0.h}, p1, [x30, x14, lsl #0x1] E4F378FF st4h {z31.h, z0.h, z1.h, z2.h}, p6, [x7, x19, lsl #0x1] E4FC659F st4h {z31.h, z0.h, z1.h, z2.h}, p1, [x12, x28, lsl #0x1] E4FD6D97 st4h {z23.h, z24.h, z25.h, z26.h}, p3, [x12, x29, lsl #0x1] E4E077AF st4h {z15.h, z16.h, z17.h, z18.h}, p5, [x29, x0, lsl #0x1] E4E97503 st4h {z3.h, z4.h, z5.h, z6.h}, p5, [x8, x9, lsl #0x1] E4E870CD st4h {z13.h, z14.h, z15.h, z16.h}, p4, [x6, x8, lsl #0x1] E4F96AAF st4h {z15.h, z16.h, z17.h, z18.h}, p2, [x21, x25, lsl #0x1] E4F0608A st4h {z10.h, z11.h, z12.h, z13.h}, p0, [x4, x16, lsl #0x1] E4F2703B st4h {z27.h, z28.h, z29.h, z30.h}, p4, [x1, x18, lsl #0x1] E4FE7808 st4h {z8.h, z9.h, z10.h, z11.h}, p6, [x0, x30, lsl #0x1] // st4w_z_p_bi_contiguous 111001010111xxxx111xxxxxxxxxxxxx E575E341 st4w {z1.s, z2.s, z3.s, z4.s}, p0, [x26, #0x14, mul vl] E577FC66 st4w {z6.s, z7.s, z8.s, z9.s}, p7, [x3, #0x1c, mul vl] E57FE8CD st4w {z13.s, z14.s, z15.s, z16.s}, p2, [x6, #-4, mul vl] E573E42C st4w {z12.s, z13.s, z14.s, z15.s}, p1, [x1, #0xc, mul vl] E576F621 st4w {z1.s, z2.s, z3.s, z4.s}, p5, [x17, #0x18, mul vl] E570FFE7 st4w {z7.s, z8.s, z9.s, z10.s}, p7, [sp] E57AF2EF st4w {z15.s, z16.s, z17.s, z18.s}, p4, [x23, #-24, mul vl] E573FA36 st4w {z22.s, z23.s, z24.s, z25.s}, p6, [x17, #0xc, mul vl] E57EE8C3 st4w {z3.s, z4.s, z5.s, z6.s}, p2, [x6, #-8, mul vl] E573F463 st4w {z3.s, z4.s, z5.s, z6.s}, p5, [x3, #0xc, mul vl] E575E588 st4w {z8.s, z9.s, z10.s, z11.s}, p1, [x12, #0x14, mul vl] E57CFC8E st4w {z14.s, z15.s, z16.s, z17.s}, p7, [x4, #-16, mul vl] E57CE3E2 st4w {z2.s, z3.s, z4.s, z5.s}, p0, [sp, #-16, mul vl] E573F10B st4w {z11.s, z12.s, z13.s, z14.s}, p4, [x8, #0xc, mul vl] E57EF4C6 st4w {z6.s, z7.s, z8.s, z9.s}, p5, [x6, #-8, mul vl] E575E311 st4w {z17.s, z18.s, z19.s, z20.s}, p0, [x24, #0x14, mul vl] // st4w_z_p_br_contiguous 11100101011xxxxx011xxxxxxxxxxxxx E56C7C0A st4w {z10.s, z11.s, z12.s, z13.s}, p7, [x0, x12, lsl #0x2] E5736B48 st4w {z8.s, z9.s, z10.s, z11.s}, p2, [x26, x19, lsl #0x2] E56F770C st4w {z12.s, z13.s, z14.s, z15.s}, p5, [x24, x15, lsl #0x2] E5756D4E st4w {z14.s, z15.s, z16.s, z17.s}, p3, [x10, x21, lsl #0x2] E5766B5C st4w {z28.s, z29.s, z30.s, z31.s}, p2, [x26, x22, lsl #0x2] E5726E66 st4w {z6.s, z7.s, z8.s, z9.s}, p3, [x19, x18, lsl #0x2] E5776677 st4w {z23.s, z24.s, z25.s, z26.s}, p1, [x19, x23, lsl #0x2] E57A74A1 st4w {z1.s, z2.s, z3.s, z4.s}, p5, [x5, x26, lsl #0x2] E57A7361 st4w {z1.s, z2.s, z3.s, z4.s}, p4, [x27, x26, lsl #0x2] E570690B st4w {z11.s, z12.s, z13.s, z14.s}, p2, [x8, x16, lsl #0x2] E56968D0 st4w {z16.s, z17.s, z18.s, z19.s}, p2, [x6, x9, lsl #0x2] E5677172 st4w {z18.s, z19.s, z20.s, z21.s}, p4, [x11, x7, lsl #0x2] E56E7C32 st4w {z18.s, z19.s, z20.s, z21.s}, p7, [x1, x14, lsl #0x2] E57E7595 st4w {z21.s, z22.s, z23.s, z24.s}, p5, [x12, x30, lsl #0x2] E57C6869 st4w {z9.s, z10.s, z11.s, z12.s}, p2, [x3, x28, lsl #0x2] E5706F09 st4w {z9.s, z10.s, z11.s, z12.s}, p3, [x24, x16, lsl #0x2] // stnt1b_z_p_bi_contiguous 111001000001xxxx111xxxxxxxxxxxxx E418FF6D stnt1b {z13.b}, p7, [x27, #-8, mul vl] E411E56E stnt1b {z14.b}, p1, [x11, #0x1, mul vl] E41DEFC4 stnt1b {z4.b}, p3, [x30, #-3, mul vl] E41AF312 stnt1b {z18.b}, p4, [x24, #-6, mul vl] E41AEFF6 stnt1b {z22.b}, p3, [sp, #-6, mul vl] E419FBBC stnt1b {z28.b}, p6, [x29, #-7, mul vl] E412E12F stnt1b {z15.b}, p0, [x9, #0x2, mul vl] E410FCA6 stnt1b {z6.b}, p7, [x5] E410F437 stnt1b {z23.b}, p5, [x1] E41FF9D1 stnt1b {z17.b}, p6, [x14, #-1, mul vl] E415F751 stnt1b {z17.b}, p5, [x26, #0x5, mul vl] E41AFBDC stnt1b {z28.b}, p6, [x30, #-6, mul vl] E41AEB86 stnt1b {z6.b}, p2, [x28, #-6, mul vl] E415E3ED stnt1b {z13.b}, p0, [sp, #0x5, mul vl] E414E3CC stnt1b {z12.b}, p0, [x30, #0x4, mul vl] E41FF976 stnt1b {z22.b}, p6, [x11, #-1, mul vl] // stnt1b_z_p_br_contiguous 11100100000xxxxx011xxxxxxxxxxxxx E41A7C7F stnt1b {z31.b}, p7, [x3, x26] E41879CD stnt1b {z13.b}, p6, [x14, x24] E4047D4F stnt1b {z15.b}, p7, [x10, x4] E410617E stnt1b {z30.b}, p0, [x11, x16] E40D6C36 stnt1b {z22.b}, p3, [x1, x13] E4007704 stnt1b {z4.b}, p5, [x24, x0] E4167024 stnt1b {z4.b}, p4, [x1, x22] E41D652B stnt1b {z11.b}, p1, [x9, x29] E4117ABD stnt1b {z29.b}, p6, [x21, x17] E4197005 stnt1b {z5.b}, p4, [x0, x25] E4167684 stnt1b {z4.b}, p5, [x20, x22] E415624A stnt1b {z10.b}, p0, [x18, x21] E40C6680 stnt1b {z0.b}, p1, [x20, x12] E40576E0 stnt1b {z0.b}, p5, [x23, x5] E41368D3 stnt1b {z19.b}, p2, [x6, x19] E4017B40 stnt1b {z0.b}, p6, [x26, x1] // stnt1d_z_p_bi_contiguous 111001011001xxxx111xxxxxxxxxxxxx E59DF95F stnt1d {z31.d}, p6, [x10, #-3, mul vl] E59AF05C stnt1d {z28.d}, p4, [x2, #-6, mul vl] E590E06C stnt1d {z12.d}, p0, [x3] E592E268 stnt1d {z8.d}, p0, [x19, #0x2, mul vl] E59DE024 stnt1d {z4.d}, p0, [x1, #-3, mul vl] E59DE670 stnt1d {z16.d}, p1, [x19, #-3, mul vl] E59EF32A stnt1d {z10.d}, p4, [x25, #-2, mul vl] E59EEE1E stnt1d {z30.d}, p3, [x16, #-2, mul vl] E595F7AA stnt1d {z10.d}, p5, [x29, #0x5, mul vl] E59EFEDC stnt1d {z28.d}, p7, [x22, #-2, mul vl] E592EC8B stnt1d {z11.d}, p3, [x4, #0x2, mul vl] E597EC92 stnt1d {z18.d}, p3, [x4, #0x7, mul vl] E590F2DB stnt1d {z27.d}, p4, [x22] E59EE89F stnt1d {z31.d}, p2, [x4, #-2, mul vl] E591EDAB stnt1d {z11.d}, p3, [x13, #0x1, mul vl] E592E480 stnt1d {z0.d}, p1, [x4, #0x2, mul vl] // stnt1d_z_p_br_contiguous 11100101100xxxxx011xxxxxxxxxxxxx E58276BB stnt1d {z27.d}, p5, [x21, x2, lsl #0x3] E59D6B0F stnt1d {z15.d}, p2, [x24, x29, lsl #0x3] E59C7596 stnt1d {z22.d}, p5, [x12, x28, lsl #0x3] E5846B95 stnt1d {z21.d}, p2, [x28, x4, lsl #0x3] E5857BDD stnt1d {z29.d}, p6, [x30, x5, lsl #0x3] E59263BB stnt1d {z27.d}, p0, [x29, x18, lsl #0x3] E58F7F20 stnt1d {z0.d}, p7, [x25, x15, lsl #0x3] E5876164 stnt1d {z4.d}, p0, [x11, x7, lsl #0x3] E58E75B6 stnt1d {z22.d}, p5, [x13, x14, lsl #0x3] E58C7749 stnt1d {z9.d}, p5, [x26, x12, lsl #0x3] E58C700B stnt1d {z11.d}, p4, [x0, x12, lsl #0x3] E59B7944 stnt1d {z4.d}, p6, [x10, x27, lsl #0x3] E59E6F0A stnt1d {z10.d}, p3, [x24, x30, lsl #0x3] E59465BE stnt1d {z30.d}, p1, [x13, x20, lsl #0x3] E59E714A stnt1d {z10.d}, p4, [x10, x30, lsl #0x3] E590753B stnt1d {z27.d}, p5, [x9, x16, lsl #0x3] // stnt1h_z_p_bi_contiguous 111001001001xxxx111xxxxxxxxxxxxx E49EFF1C stnt1h {z28.h}, p7, [x24, #-2, mul vl] E49AFC87 stnt1h {z7.h}, p7, [x4, #-6, mul vl] E491F0FE stnt1h {z30.h}, p4, [x7, #0x1, mul vl] E494E356 stnt1h {z22.h}, p0, [x26, #0x4, mul vl] E49DEF9F stnt1h {z31.h}, p3, [x28, #-3, mul vl] E499E4BB stnt1h {z27.h}, p1, [x5, #-7, mul vl] E492F60E stnt1h {z14.h}, p5, [x16, #0x2, mul vl] E49AFA55 stnt1h {z21.h}, p6, [x18, #-6, mul vl] E491E527 stnt1h {z7.h}, p1, [x9, #0x1, mul vl] E499ED70 stnt1h {z16.h}, p3, [x11, #-7, mul vl] E49DF962 stnt1h {z2.h}, p6, [x11, #-3, mul vl] E490FF35 stnt1h {z21.h}, p7, [x25] E49DEA9B stnt1h {z27.h}, p2, [x20, #-3, mul vl] E496F514 stnt1h {z20.h}, p5, [x8, #0x6, mul vl] E49CFA95 stnt1h {z21.h}, p6, [x20, #-4, mul vl] E49CF2BB stnt1h {z27.h}, p4, [x21, #-4, mul vl] // stnt1h_z_p_br_contiguous 11100100100xxxxx011xxxxxxxxxxxxx E49E64CF stnt1h {z15.h}, p1, [x6, x30, lsl #0x1] E48D79DE stnt1h {z30.h}, p6, [x14, x13, lsl #0x1] E48E6F62 stnt1h {z2.h}, p3, [x27, x14, lsl #0x1] E49C68A4 stnt1h {z4.h}, p2, [x5, x28, lsl #0x1] E4977F1A stnt1h {z26.h}, p7, [x24, x23, lsl #0x1] E4807FB3 stnt1h {z19.h}, p7, [x29, x0, lsl #0x1] E4817543 stnt1h {z3.h}, p5, [x10, x1, lsl #0x1] E48A76EA stnt1h {z10.h}, p5, [x23, x10, lsl #0x1] E48C60C0 stnt1h {z0.h}, p0, [x6, x12, lsl #0x1] E488611E stnt1h {z30.h}, p0, [x8, x8, lsl #0x1] E480722B stnt1h {z11.h}, p4, [x17, x0, lsl #0x1] E49B72A5 stnt1h {z5.h}, p4, [x21, x27, lsl #0x1] E48972CB stnt1h {z11.h}, p4, [x22, x9, lsl #0x1] E49C6D21 stnt1h {z1.h}, p3, [x9, x28, lsl #0x1] E48D758E stnt1h {z14.h}, p5, [x12, x13, lsl #0x1] E4837FD4 stnt1h {z20.h}, p7, [x30, x3, lsl #0x1] // stnt1w_z_p_bi_contiguous 111001010001xxxx111xxxxxxxxxxxxx E51DEC56 stnt1w {z22.s}, p3, [x2, #-3, mul vl] E518F444 stnt1w {z4.s}, p5, [x2, #-8, mul vl] E510F01A stnt1w {z26.s}, p4, [x0] E515E769 stnt1w {z9.s}, p1, [x27, #0x5, mul vl] E510E630 stnt1w {z16.s}, p1, [x17] E519EF9F stnt1w {z31.s}, p3, [x28, #-7, mul vl] E51DEC91 stnt1w {z17.s}, p3, [x4, #-3, mul vl] E51CF7D5 stnt1w {z21.s}, p5, [x30, #-4, mul vl] E51EE97B stnt1w {z27.s}, p2, [x11, #-2, mul vl] E519E0AA stnt1w {z10.s}, p0, [x5, #-7, mul vl] E517EE95 stnt1w {z21.s}, p3, [x20, #0x7, mul vl] E51CF05F stnt1w {z31.s}, p4, [x2, #-4, mul vl] E51BE55C stnt1w {z28.s}, p1, [x10, #-5, mul vl] E512FA0A stnt1w {z10.s}, p6, [x16, #0x2, mul vl] E51FFB7D stnt1w {z29.s}, p6, [x27, #-1, mul vl] E515ECFF stnt1w {z31.s}, p3, [x7, #0x5, mul vl] // stnt1w_z_p_br_contiguous 11100101000xxxxx011xxxxxxxxxxxxx E51E69B7 stnt1w {z23.s}, p2, [x13, x30, lsl #0x2] E504647A stnt1w {z26.s}, p1, [x3, x4, lsl #0x2] E50A6107 stnt1w {z7.s}, p0, [x8, x10, lsl #0x2] E50A6532 stnt1w {z18.s}, p1, [x9, x10, lsl #0x2] E50D619A stnt1w {z26.s}, p0, [x12, x13, lsl #0x2] E50861CE stnt1w {z14.s}, p0, [x14, x8, lsl #0x2] E5077CA3 stnt1w {z3.s}, p7, [x5, x7, lsl #0x2] E5116CCA stnt1w {z10.s}, p3, [x6, x17, lsl #0x2] E51765CC stnt1w {z12.s}, p1, [x14, x23, lsl #0x2] E5167E11 stnt1w {z17.s}, p7, [x16, x22, lsl #0x2] E5036C36 stnt1w {z22.s}, p3, [x1, x3, lsl #0x2] E50D7A58 stnt1w {z24.s}, p6, [x18, x13, lsl #0x2] E502716C stnt1w {z12.s}, p4, [x11, x2, lsl #0x2] E50A7EE2 stnt1w {z2.s}, p7, [x23, x10, lsl #0x2] E5187082 stnt1w {z2.s}, p4, [x4, x24, lsl #0x2] E51A623B stnt1w {z27.s}, p0, [x17, x26, lsl #0x2] // str_p_bi_ 1110010110xxxxxx000xxxxxxxx0xxxx E5991BC7 str p7, [x30, #0xce, mul vl] E59500EC str p12, [x7, #0xa8, mul vl] E58D0F06 str p6, [x24, #0x6b, mul vl] E5820E49 str p9, [x18, #0x13, mul vl] E59E0F49 str p9, [x26, #0xf3, mul vl] E59905AF str p15, [x13, #0xc9, mul vl] E5A61D4B str p11, [x10, #-201, mul vl] E5B71DE5 str p5, [x15, #-65, mul vl] E5970E41 str p1, [x18, #0xbb, mul vl] E5941042 str p2, [x2, #0xa4, mul vl] E5AD0044 str p4, [x2, #-152, mul vl] E5B70FE0 str p0, [sp, #-69, mul vl] E5B31DC9 str p9, [x14, #-97, mul vl] E5A00D6D str p13, [x11, #-253, mul vl] E58E06C7 str p7, [x22, #0x71, mul vl] E5AF1520 str p0, [x9, #-131, mul vl] // str_z_bi_ 1110010110xxxxxx01xxxxxxxxxxxxxx E5BC5EB8 str z24, [x21, #-25, mul vl] E595412F str z15, [x9, #0xa8, mul vl] E58B4563 str z3, [x11, #0x59, mul vl] E5AB4A89 str z9, [x20, #-166, mul vl] E58F57EC str z12, [sp, #0x7d, mul vl] E5975D34 str z20, [x9, #0xbf, mul vl] E5895C6E str z14, [x3, #0x4f, mul vl] E59A4E61 str z1, [x19, #0xd3, mul vl] E5BC510E str z14, [x8, #-28, mul vl] E58D5536 str z22, [x9, #0x6d, mul vl] E5B85D5E str z30, [x10, #-57, mul vl] E5AE4394 str z20, [x28, #-144, mul vl] E5A042FF str z31, [x23, #-256, mul vl] E5995C31 str z17, [x1, #0xcf, mul vl] E58143ED str z13, [sp, #0x8, mul vl] E5A25AB0 str z16, [x21, #-234, mul vl] // sub_z_p_zz_ 00000100xx000001000xxxxxxxxxxxxx 048109E1 sub z1.s, p2/m, z1.s, z15.s 04411268 sub z8.h, p4/m, z8.h, z19.h 04C118E5 sub z5.d, p6/m, z5.d, z7.d 044101DF sub z31.h, p0/m, z31.h, z14.h 04811182 sub z2.s, p4/m, z2.s, z12.s 04010024 sub z4.b, p0/m, z4.b, z1.b 04010623 sub z3.b, p1/m, z3.b, z17.b 048101C3 sub z3.s, p0/m, z3.s, z14.s 04C11353 sub z19.d, p4/m, z19.d, z26.d 04C112B9 sub z25.d, p4/m, z25.d, z21.d 0441101C sub z28.h, p4/m, z28.h, z0.h 04410878 sub z24.h, p2/m, z24.h, z3.h 044103E1 sub z1.h, p0/m, z1.h, z31.h 04011E85 sub z5.b, p7/m, z5.b, z20.b 04811027 sub z7.s, p4/m, z7.s, z1.s 04411577 sub z23.h, p5/m, z23.h, z11.h // sub_z_zi_ 00100101xx10000111xxxxxxxxxxxxxx 25E1F685 sub z5.d, z5.d, #0xb400 25E1F556 sub z22.d, z22.d, #0xaa00 2561E4B8 sub z24.h, z24.h, #0x2500 25A1CCE0 sub z0.s, z0.s, #0x67 2521CBB2 sub z18.b, z18.b, #0x5d 25E1E678 sub z24.d, z24.d, #0x3300 25A1F90C sub z12.s, z12.s, #0xc800 25A1C779 sub z25.s, z25.s, #0x3b 25A1C6A7 sub z7.s, z7.s, #0x35 2521D8B8 sub z24.b, z24.b, #0xc5 2561E6FD sub z29.h, z29.h, #0x3700 2521D129 sub z9.b, z9.b, #0x89 25A1D4F3 sub z19.s, z19.s, #0xa7 2561E9FE sub z30.h, z30.h, #0x4f00 25E1E705 sub z5.d, z5.d, #0x3800 25E1D7B7 sub z23.d, z23.d, #0xbd // sub_z_zz_ 00000100xx1xxxxx000001xxxxxxxxxx 04A50715 sub z21.s, z24.s, z5.s 04F10460 sub z0.d, z3.d, z17.d 043D04DB sub z27.b, z6.b, z29.b 046C057E sub z30.h, z11.h, z12.h 043B04B4 sub z20.b, z5.b, z27.b 04BA05E5 sub z5.s, z15.s, z26.s 047D0656 sub z22.h, z18.h, z29.h 04A00550 sub z16.s, z10.s, z0.s 0470059A sub z26.h, z12.h, z16.h 04A405A0 sub z0.s, z13.s, z4.s 047A0583 sub z3.h, z12.h, z26.h 04E606DE sub z30.d, z22.d, z6.d 04EA0537 sub z23.d, z9.d, z10.d 046D04D2 sub z18.h, z6.h, z13.h 04FC0762 sub z2.d, z27.d, z28.d 04E7076D sub z13.d, z27.d, z7.d // subr_z_p_zz_ 00000100xx000011000xxxxxxxxxxxxx 04430FA6 subr z6.h, p3/m, z6.h, z29.h 04C31B12 subr z18.d, p6/m, z18.d, z24.d 04C31363 subr z3.d, p4/m, z3.d, z27.d 04430492 subr z18.h, p1/m, z18.h, z4.h 04431CDC subr z28.h, p7/m, z28.h, z6.h 04831AB8 subr z24.s, p6/m, z24.s, z21.s 04430791 subr z17.h, p1/m, z17.h, z28.h 04C306E9 subr z9.d, p1/m, z9.d, z23.d 04030A35 subr z21.b, p2/m, z21.b, z17.b 040304D3 subr z19.b, p1/m, z19.b, z6.b 04030AEA subr z10.b, p2/m, z10.b, z23.b 04C31F1F subr z31.d, p7/m, z31.d, z24.d 04C30D67 subr z7.d, p3/m, z7.d, z11.d 04430559 subr z25.h, p1/m, z25.h, z10.h 04031CF5 subr z21.b, p7/m, z21.b, z7.b 04031364 subr z4.b, p4/m, z4.b, z27.b // subr_z_zi_ 00100101xx10001111xxxxxxxxxxxxxx 2523DFB4 subr z20.b, z20.b, #0xfd 25E3F6EF subr z15.d, z15.d, #0xb700 2523DE41 subr z1.b, z1.b, #0xf2 2563DA21 subr z1.h, z1.h, #0xd1 2563ED2A subr z10.h, z10.h, #0x6900 2563C1D3 subr z19.h, z19.h, #0xe 25A3DDA9 subr z9.s, z9.s, #0xed 25A3C0E6 subr z6.s, z6.s, #0x7 2563EBF9 subr z25.h, z25.h, #0x5f00 2523CFFB subr z27.b, z27.b, #0x7f 25A3CD40 subr z0.s, z0.s, #0x6a 2523CA03 subr z3.b, z3.b, #0x50 2563F0DF subr z31.h, z31.h, #0x8600 25E3CB93 subr z19.d, z19.d, #0x5c 2563D6CA subr z10.h, z10.h, #0xb6 2563E131 subr z17.h, z17.h, #0x900 // sudot_z_zzzi_s 01000100101xxxxx000111xxxxxxxxxx // sunpkhi_z_z_ 00000101xx110001001110xxxxxxxxxx 05B13A5F sunpkhi z31.s, z18.h 057139D0 sunpkhi z16.h, z14.b 05713B33 sunpkhi z19.h, z25.b 05713BF3 sunpkhi z19.h, z31.b 05B13840 sunpkhi z0.s, z2.h 057138BF sunpkhi z31.h, z5.b 05B13AAB sunpkhi z11.s, z21.h 0571395F sunpkhi z31.h, z10.b 05B139B4 sunpkhi z20.s, z13.h 05F13BE4 sunpkhi z4.d, z31.s 05B13A29 sunpkhi z9.s, z17.h 05713BDA sunpkhi z26.h, z30.b 05F138A9 sunpkhi z9.d, z5.s 05713983 sunpkhi z3.h, z12.b 05F139E3 sunpkhi z3.d, z15.s 05F13A03 sunpkhi z3.d, z16.s // sunpklo_z_z_ 00000101xx110000001110xxxxxxxxxx 057039B7 sunpklo z23.h, z13.b 05703BCD sunpklo z13.h, z30.b 05703B9C sunpklo z28.h, z28.b 05F03A19 sunpklo z25.d, z16.s 05F03833 sunpklo z19.d, z1.s 05B03A6D sunpklo z13.s, z19.h 05B03B13 sunpklo z19.s, z24.h 05B03A94 sunpklo z20.s, z20.h 0570385E sunpklo z30.h, z2.b 05B03BAB sunpklo z11.s, z29.h 057038A0 sunpklo z0.h, z5.b 05B038B2 sunpklo z18.s, z5.h 05B0395D sunpklo z29.s, z10.h 05F03AA9 sunpklo z9.d, z21.s 05703B6B sunpklo z11.h, z27.b 05703B38 sunpklo z24.h, z25.b // sxtb_z_p_z_ 00000100xx010000101xxxxxxxxxxxxx 0490A714 sxtb z20.s, p1/m, z24.s 04D0A8F1 sxtb z17.d, p2/m, z7.d 0450BB88 sxtb z8.h, p6/m, z28.h 0490BFA3 sxtb z3.s, p7/m, z29.s 04D0AF21 sxtb z1.d, p3/m, z25.d 04D0A5B8 sxtb z24.d, p1/m, z13.d 04D0B8C4 sxtb z4.d, p6/m, z6.d 0490B7A0 sxtb z0.s, p5/m, z29.s 0490A137 sxtb z23.s, p0/m, z9.s 0490B656 sxtb z22.s, p5/m, z18.s 0450A58E sxtb z14.h, p1/m, z12.h 0490A271 sxtb z17.s, p0/m, z19.s 04D0B6A7 sxtb z7.d, p5/m, z21.d 0490A607 sxtb z7.s, p1/m, z16.s 0450B075 sxtb z21.h, p4/m, z3.h 04D0AEA8 sxtb z8.d, p3/m, z21.d // sxth_z_p_z_ 000001001x010010101xxxxxxxxxxxxx 0492ACA9 sxth z9.s, p3/m, z5.s 0492B44F sxth z15.s, p5/m, z2.s 0492A635 sxth z21.s, p1/m, z17.s 0492B7CA sxth z10.s, p5/m, z30.s 0492B468 sxth z8.s, p5/m, z3.s 0492AE1D sxth z29.s, p3/m, z16.s 0492B4D3 sxth z19.s, p5/m, z6.s 0492BF72 sxth z18.s, p7/m, z27.s 04D2B8CD sxth z13.d, p6/m, z6.d 04D2B744 sxth z4.d, p5/m, z26.d 0492BA96 sxth z22.s, p6/m, z20.s 04D2B58C sxth z12.d, p5/m, z12.d 0492B7A0 sxth z0.s, p5/m, z29.s 0492B66D sxth z13.s, p5/m, z19.s 04D2A006 sxth z6.d, p0/m, z0.d 0492B09A sxth z26.s, p4/m, z4.s // sxtw_z_p_z_ 0000010011010100101xxxxxxxxxxxxx 04D4B8D3 sxtw z19.d, p6/m, z6.d 04D4B0AD sxtw z13.d, p4/m, z5.d 04D4AFCB sxtw z11.d, p3/m, z30.d 04D4BF69 sxtw z9.d, p7/m, z27.d 04D4A1D8 sxtw z24.d, p0/m, z14.d 04D4A491 sxtw z17.d, p1/m, z4.d 04D4A8B0 sxtw z16.d, p2/m, z5.d 04D4BE2A sxtw z10.d, p7/m, z17.d 04D4BEA5 sxtw z5.d, p7/m, z21.d 04D4ABA5 sxtw z5.d, p2/m, z29.d 04D4B712 sxtw z18.d, p5/m, z24.d 04D4B75F sxtw z31.d, p5/m, z26.d 04D4AFE4 sxtw z4.d, p3/m, z31.d 04D4A4E6 sxtw z6.d, p1/m, z7.d 04D4A710 sxtw z16.d, p1/m, z24.d 04D4BCF2 sxtw z18.d, p7/m, z7.d // tbl_z_zz_1 00000101xx1xxxxx001100xxxxxxxxxx 05B833B6 tbl z22.s, {z29.s}, z24.s 05A831CD tbl z13.s, {z14.s}, z8.s 052032BF tbl z31.b, {z21.b}, z0.b 05EC311F tbl z31.d, {z8.d}, z12.d 05F4339D tbl z29.d, {z28.d}, z20.d 05E731A0 tbl z0.d, {z13.d}, z7.d 05333172 tbl z18.b, {z11.b}, z19.b 05A331AA tbl z10.s, {z13.s}, z3.s 057D30EC tbl z12.h, {z7.h}, z29.h 05A8319F tbl z31.s, {z12.s}, z8.s 05363364 tbl z4.b, {z27.b}, z22.b 05A6322D tbl z13.s, {z17.s}, z6.s 05B5320A tbl z10.s, {z16.s}, z21.s 05633096 tbl z22.h, {z4.h}, z3.h 052A32CA tbl z10.b, {z22.b}, z10.b 05F13060 tbl z0.d, {z3.d}, z17.d // trn1_p_pp_ 00000101xx10xxxx0101000xxxx0xxxx 05215185 trn1 p5.b, p12.b, p1.b 05EA5065 trn1 p5.d, p3.d, p10.d 05EF50A1 trn1 p1.d, p5.d, p15.d 05E751C5 trn1 p5.d, p14.d, p7.d 056A5001 trn1 p1.h, p0.h, p10.h 05AB512C trn1 p12.s, p9.s, p11.s 0520510D trn1 p13.b, p8.b, p0.b 052250C8 trn1 p8.b, p6.b, p2.b 056851E7 trn1 p7.h, p15.h, p8.h 05EA5160 trn1 p0.d, p11.d, p10.d 056D50A4 trn1 p4.h, p5.h, p13.h 05A451C7 trn1 p7.s, p14.s, p4.s 05EE50C7 trn1 p7.d, p6.d, p14.d 05665066 trn1 p6.h, p3.h, p6.h 05AD5141 trn1 p1.s, p10.s, p13.s 052E50A7 trn1 p7.b, p5.b, p14.b // trn1_z_zz_ 00000101xx1xxxxx011100xxxxxxxxxx 05377273 trn1 z19.b, z19.b, z23.b 05A77144 trn1 z4.s, z10.s, z7.s 05617338 trn1 z24.h, z25.h, z1.h 05247013 trn1 z19.b, z0.b, z4.b 053373C5 trn1 z5.b, z30.b, z19.b 057E702A trn1 z10.h, z1.h, z30.h 05E97315 trn1 z21.d, z24.d, z9.d 05FF718C trn1 z12.d, z12.d, z31.d 05F57108 trn1 z8.d, z8.d, z21.d 057070C2 trn1 z2.h, z6.h, z16.h 052471F5 trn1 z21.b, z15.b, z4.b 0527711E trn1 z30.b, z8.b, z7.b 05777023 trn1 z3.h, z1.h, z23.h 05F27042 trn1 z2.d, z2.d, z18.d 05767390 trn1 z16.h, z28.h, z22.h 05F071AB trn1 z11.d, z13.d, z16.d // trn1_z_zz_q 00000101101xxxxx000110xxxxxxxxxx // trn2_p_pp_ 00000101xx10xxxx0101010xxxx0xxxx 05665467 trn2 p7.h, p3.h, p6.h 056D5409 trn2 p9.h, p0.h, p13.h 0521548A trn2 p10.b, p4.b, p1.b 05A75429 trn2 p9.s, p1.s, p7.s 05E95487 trn2 p7.d, p4.d, p9.d 05E15427 trn2 p7.d, p1.d, p1.d 052B554A trn2 p10.b, p10.b, p11.b 052D552E trn2 p14.b, p9.b, p13.b 05A85506 trn2 p6.s, p8.s, p8.s 05EE5506 trn2 p6.d, p8.d, p14.d 05AE552B trn2 p11.s, p9.s, p14.s 056655CC trn2 p12.h, p14.h, p6.h 056055E6 trn2 p6.h, p15.h, p0.h 05A9542F trn2 p15.s, p1.s, p9.s 056E55C4 trn2 p4.h, p14.h, p14.h 05A6550D trn2 p13.s, p8.s, p6.s // trn2_z_zz_ 00000101xx1xxxxx011101xxxxxxxxxx 057177B8 trn2 z24.h, z29.h, z17.h 05AE758D trn2 z13.s, z12.s, z14.s 05777435 trn2 z21.h, z1.h, z23.h 057C7458 trn2 z24.h, z2.h, z28.h 05A174C5 trn2 z5.s, z6.s, z1.s 057276F1 trn2 z17.h, z23.h, z18.h 05E877A2 trn2 z2.d, z29.d, z8.d 05A974A5 trn2 z5.s, z5.s, z9.s 05FE7752 trn2 z18.d, z26.d, z30.d 05627669 trn2 z9.h, z19.h, z2.h 0567746A trn2 z10.h, z3.h, z7.h 05B575BC trn2 z28.s, z13.s, z21.s 05A57491 trn2 z17.s, z4.s, z5.s 05F77469 trn2 z9.d, z3.d, z23.d 052574D6 trn2 z22.b, z6.b, z5.b 053577FE trn2 z30.b, z31.b, z21.b // trn2_z_zz_q 00000101101xxxxx00xxxxxxxxxxxxxx // uabd_z_p_zz_ 00000100xx001101000xxxxxxxxxxxxx 040D1AC3 uabd z3.b, p6/m, z3.b, z22.b 04CD02D9 uabd z25.d, p0/m, z25.d, z22.d 04CD034C uabd z12.d, p0/m, z12.d, z26.d 04CD1925 uabd z5.d, p6/m, z5.d, z9.d 04CD1B3E uabd z30.d, p6/m, z30.d, z25.d 048D060F uabd z15.s, p1/m, z15.s, z16.s 040D0627 uabd z7.b, p1/m, z7.b, z17.b 04CD0C35 uabd z21.d, p3/m, z21.d, z1.d 040D02EB uabd z11.b, p0/m, z11.b, z23.b 048D0019 uabd z25.s, p0/m, z25.s, z0.s 044D0832 uabd z18.h, p2/m, z18.h, z1.h 048D0AFE uabd z30.s, p2/m, z30.s, z23.s 048D1FE5 uabd z5.s, p7/m, z5.s, z31.s 04CD0698 uabd z24.d, p1/m, z24.d, z20.d 040D1452 uabd z18.b, p5/m, z18.b, z2.b 040D0CFA uabd z26.b, p3/m, z26.b, z7.b // uaddv_r_p_z_ 00000100xx000001001xxxxxxxxxxxxx 04013079 uaddv d25, p4, z3.b 04812646 uaddv d6, p1, z18.s 04C1353E uaddv d30, p5, z9.d 04412D06 uaddv d6, p3, z8.h 0481259D uaddv d29, p1, z12.s 04C12F79 uaddv d25, p3, z27.d 04413A06 uaddv d6, p6, z16.h 04812603 uaddv d3, p1, z16.s 040127E0 uaddv d0, p1, z31.b 04013460 uaddv d0, p5, z3.b 0481256F uaddv d15, p1, z11.s 04013991 uaddv d17, p6, z12.b 04412106 uaddv d6, p0, z8.h 048139AE uaddv d14, p6, z13.s 04012AEF uaddv d15, p2, z23.b 04013394 uaddv d20, p4, z28.b // ucvtf_z_p_z_h2fp16 0110010101010011101xxxxxxxxxxxxx 6553BA8D ucvtf z13.h, p6/m, z20.h 6553BEE7 ucvtf z7.h, p7/m, z23.h 6553A4C9 ucvtf z9.h, p1/m, z6.h 6553BF95 ucvtf z21.h, p7/m, z28.h 6553BC97 ucvtf z23.h, p7/m, z4.h 6553B600 ucvtf z0.h, p5/m, z16.h 6553B6C9 ucvtf z9.h, p5/m, z22.h 6553AFA2 ucvtf z2.h, p3/m, z29.h 6553B75C ucvtf z28.h, p5/m, z26.h 6553A404 ucvtf z4.h, p1/m, z0.h 6553B526 ucvtf z6.h, p5/m, z9.h 6553B149 ucvtf z9.h, p4/m, z10.h 6553A8D7 ucvtf z23.h, p2/m, z6.h 6553BECB ucvtf z11.h, p7/m, z22.h 6553AA7F ucvtf z31.h, p2/m, z19.h 6553ACCC ucvtf z12.h, p3/m, z6.h // ucvtf_z_p_z_w2d 0110010111010001101xxxxxxxxxxxxx 65D1B5AB ucvtf z11.d, p5/m, z13.s 65D1B0E1 ucvtf z1.d, p4/m, z7.s 65D1A241 ucvtf z1.d, p0/m, z18.s 65D1B11E ucvtf z30.d, p4/m, z8.s 65D1ABF6 ucvtf z22.d, p2/m, z31.s 65D1B572 ucvtf z18.d, p5/m, z11.s 65D1A0CB ucvtf z11.d, p0/m, z6.s 65D1AC72 ucvtf z18.d, p3/m, z3.s 65D1B128 ucvtf z8.d, p4/m, z9.s 65D1B5B0 ucvtf z16.d, p5/m, z13.s 65D1AB3A ucvtf z26.d, p2/m, z25.s 65D1A9A0 ucvtf z0.d, p2/m, z13.s 65D1BCE4 ucvtf z4.d, p7/m, z7.s 65D1B528 ucvtf z8.d, p5/m, z9.s 65D1A7AE ucvtf z14.d, p1/m, z29.s 65D1AAD1 ucvtf z17.d, p2/m, z22.s // ucvtf_z_p_z_w2fp16 0110010101010101101xxxxxxxxxxxxx 6555A013 ucvtf z19.h, p0/m, z0.s 6555A7C8 ucvtf z8.h, p1/m, z30.s 6555B02B ucvtf z11.h, p4/m, z1.s 6555BA52 ucvtf z18.h, p6/m, z18.s 6555B32C ucvtf z12.h, p4/m, z25.s 6555ACD4 ucvtf z20.h, p3/m, z6.s 6555BC1E ucvtf z30.h, p7/m, z0.s 6555AB75 ucvtf z21.h, p2/m, z27.s 6555A93D ucvtf z29.h, p2/m, z9.s 6555A78F ucvtf z15.h, p1/m, z28.s 6555B9BE ucvtf z30.h, p6/m, z13.s 6555BF83 ucvtf z3.h, p7/m, z28.s 6555B331 ucvtf z17.h, p4/m, z25.s 6555A21B ucvtf z27.h, p0/m, z16.s 6555ADDF ucvtf z31.h, p3/m, z14.s 6555B895 ucvtf z21.h, p6/m, z4.s // ucvtf_z_p_z_w2s 0110010110010101101xxxxxxxxxxxxx 6595A525 ucvtf z5.s, p1/m, z9.s 6595A026 ucvtf z6.s, p0/m, z1.s 6595A388 ucvtf z8.s, p0/m, z28.s 6595AC17 ucvtf z23.s, p3/m, z0.s 6595A324 ucvtf z4.s, p0/m, z25.s 6595B83A ucvtf z26.s, p6/m, z1.s 6595A453 ucvtf z19.s, p1/m, z2.s 6595A823 ucvtf z3.s, p2/m, z1.s 6595AD85 ucvtf z5.s, p3/m, z12.s 6595ADD7 ucvtf z23.s, p3/m, z14.s 6595A37F ucvtf z31.s, p0/m, z27.s 6595BF45 ucvtf z5.s, p7/m, z26.s 6595A9A4 ucvtf z4.s, p2/m, z13.s 6595BFFC ucvtf z28.s, p7/m, z31.s 6595A51B ucvtf z27.s, p1/m, z8.s 6595BCE3 ucvtf z3.s, p7/m, z7.s // ucvtf_z_p_z_x2d 0110010111010111101xxxxxxxxxxxxx 65D7A545 ucvtf z5.d, p1/m, z10.d 65D7A812 ucvtf z18.d, p2/m, z0.d 65D7BAE0 ucvtf z0.d, p6/m, z23.d 65D7B6C7 ucvtf z7.d, p5/m, z22.d 65D7AB34 ucvtf z20.d, p2/m, z25.d 65D7AD60 ucvtf z0.d, p3/m, z11.d 65D7BCC3 ucvtf z3.d, p7/m, z6.d 65D7A0F3 ucvtf z19.d, p0/m, z7.d 65D7B5B4 ucvtf z20.d, p5/m, z13.d 65D7B270 ucvtf z16.d, p4/m, z19.d 65D7AA11 ucvtf z17.d, p2/m, z16.d 65D7BCA4 ucvtf z4.d, p7/m, z5.d 65D7B355 ucvtf z21.d, p4/m, z26.d 65D7BA12 ucvtf z18.d, p6/m, z16.d 65D7BEDD ucvtf z29.d, p7/m, z22.d 65D7BCDE ucvtf z30.d, p7/m, z6.d // ucvtf_z_p_z_x2fp16 0110010101010111101xxxxxxxxxxxxx 6557AC56 ucvtf z22.h, p3/m, z2.d 6557BEBE ucvtf z30.h, p7/m, z21.d 6557A2C8 ucvtf z8.h, p0/m, z22.d 6557BF79 ucvtf z25.h, p7/m, z27.d 6557A582 ucvtf z2.h, p1/m, z12.d 6557B991 ucvtf z17.h, p6/m, z12.d 6557A2D5 ucvtf z21.h, p0/m, z22.d 6557B61E ucvtf z30.h, p5/m, z16.d 6557A31E ucvtf z30.h, p0/m, z24.d 6557BAB8 ucvtf z24.h, p6/m, z21.d 6557A825 ucvtf z5.h, p2/m, z1.d 6557BA05 ucvtf z5.h, p6/m, z16.d 6557B62A ucvtf z10.h, p5/m, z17.d 6557A7A7 ucvtf z7.h, p1/m, z29.d 6557B750 ucvtf z16.h, p5/m, z26.d 6557BD52 ucvtf z18.h, p7/m, z10.d // ucvtf_z_p_z_x2s 0110010111010101101xxxxxxxxxxxxx 65D5AA23 ucvtf z3.s, p2/m, z17.d 65D5BA66 ucvtf z6.s, p6/m, z19.d 65D5AAD9 ucvtf z25.s, p2/m, z22.d 65D5A43D ucvtf z29.s, p1/m, z1.d 65D5A5A9 ucvtf z9.s, p1/m, z13.d 65D5B4FC ucvtf z28.s, p5/m, z7.d 65D5B01A ucvtf z26.s, p4/m, z0.d 65D5A445 ucvtf z5.s, p1/m, z2.d 65D5A1AF ucvtf z15.s, p0/m, z13.d 65D5AEC5 ucvtf z5.s, p3/m, z22.d 65D5AD10 ucvtf z16.s, p3/m, z8.d 65D5BA88 ucvtf z8.s, p6/m, z20.d 65D5A7D9 ucvtf z25.s, p1/m, z30.d 65D5B3E1 ucvtf z1.s, p4/m, z31.d 65D5B4D3 ucvtf z19.s, p5/m, z6.d 65D5A088 ucvtf z8.s, p0/m, z4.d // udiv_z_p_zz_ 000001001x010101000xxxxxxxxxxxxx 04950376 udiv z22.s, p0/m, z22.s, z27.s 04D50317 udiv z23.d, p0/m, z23.d, z24.d 04D50024 udiv z4.d, p0/m, z4.d, z1.d 04D5021D udiv z29.d, p0/m, z29.d, z16.d 04D5103A udiv z26.d, p4/m, z26.d, z1.d 04D51909 udiv z9.d, p6/m, z9.d, z8.d 0495038F udiv z15.s, p0/m, z15.s, z28.s 04D51F06 udiv z6.d, p7/m, z6.d, z24.d 04D5143E udiv z30.d, p5/m, z30.d, z1.d 049518CE udiv z14.s, p6/m, z14.s, z6.s 04950AC8 udiv z8.s, p2/m, z8.s, z22.s 04950E17 udiv z23.s, p3/m, z23.s, z16.s 04D50890 udiv z16.d, p2/m, z16.d, z4.d 04951067 udiv z7.s, p4/m, z7.s, z3.s 049500BC udiv z28.s, p0/m, z28.s, z5.s 04951463 udiv z3.s, p5/m, z3.s, z3.s // udivr_z_p_zz_ 000001001x010111000xxxxxxxxxxxxx 04D714EA udivr z10.d, p5/m, z10.d, z7.d 04971700 udivr z0.s, p5/m, z0.s, z24.s 0497110B udivr z11.s, p4/m, z11.s, z8.s 04D7182E udivr z14.d, p6/m, z14.d, z1.d 04970E80 udivr z0.s, p3/m, z0.s, z20.s 04971ED7 udivr z23.s, p7/m, z23.s, z22.s 04970D0F udivr z15.s, p3/m, z15.s, z8.s 04D71905 udivr z5.d, p6/m, z5.d, z8.d 04D70349 udivr z9.d, p0/m, z9.d, z26.d 049708EC udivr z12.s, p2/m, z12.s, z7.s 04970137 udivr z23.s, p0/m, z23.s, z9.s 049711C6 udivr z6.s, p4/m, z6.s, z14.s 04970E98 udivr z24.s, p3/m, z24.s, z20.s 04971F46 udivr z6.s, p7/m, z6.s, z26.s 04970D8D udivr z13.s, p3/m, z13.s, z12.s 0497101E udivr z30.s, p4/m, z30.s, z0.s // udot_z_zzz_ 010001001x0xxxxx000001xxxxxxxxxx 448606A2 udot z2.s, z21.b, z6.b 44D0057F udot z31.d, z11.h, z16.h 448206E5 udot z5.s, z23.b, z2.b 44DD0796 udot z22.d, z28.h, z29.h 448E079C udot z28.s, z28.b, z14.b 44920436 udot z22.s, z1.b, z18.b 44D00450 udot z16.d, z2.h, z16.h 44DE0434 udot z20.d, z1.h, z30.h 448C061F udot z31.s, z16.b, z12.b 44DD0740 udot z0.d, z26.h, z29.h 44CC06C9 udot z9.d, z22.h, z12.h 448F064E udot z14.s, z18.b, z15.b 44C90603 udot z3.d, z16.h, z9.h 448C04F3 udot z19.s, z7.b, z12.b 44D40433 udot z19.d, z1.h, z20.h 448F060B udot z11.s, z16.b, z15.b // udot_z_zzzi_d 01000100111xxxxx000001xxxxxxxxxx 44EC04DA udot z26.d, z6.h, z12.h[0] 44F4069B udot z27.d, z20.h, z4.h[1] 44FF06A1 udot z1.d, z21.h, z15.h[1] 44E106F0 udot z16.d, z23.h, z1.h[0] 44FA04C6 udot z6.d, z6.h, z10.h[1] 44F907D6 udot z22.d, z30.h, z9.h[1] 44F604EE udot z14.d, z7.h, z6.h[1] 44E207AD udot z13.d, z29.h, z2.h[0] 44F805D0 udot z16.d, z14.h, z8.h[1] 44FD06FB udot z27.d, z23.h, z13.h[1] 44EF047A udot z26.d, z3.h, z15.h[0] 44EB0517 udot z23.d, z8.h, z11.h[0] 44EF04DD udot z29.d, z6.h, z15.h[0] 44FA0775 udot z21.d, z27.h, z10.h[1] 44E005BC udot z28.d, z13.h, z0.h[0] 44F7079A udot z26.d, z28.h, z7.h[1] // udot_z_zzzi_s 01000100101xxxxx000001xxxxxxxxxx 44B207F8 udot z24.s, z31.b, z2.b[2] 44AC056E udot z14.s, z11.b, z4.b[1] 44AC0704 udot z4.s, z24.b, z4.b[1] 44B805C0 udot z0.s, z14.b, z0.b[3] 44BC0558 udot z24.s, z10.b, z4.b[3] 44A806E3 udot z3.s, z23.b, z0.b[1] 44AD0537 udot z23.s, z9.b, z5.b[1] 44BC07E4 udot z4.s, z31.b, z4.b[3] 44B005D3 udot z19.s, z14.b, z0.b[2] 44B104F2 udot z18.s, z7.b, z1.b[2] 44B005E5 udot z5.s, z15.b, z0.b[2] 44BD07B6 udot z22.s, z29.b, z5.b[3] 44AB072C udot z12.s, z25.b, z3.b[1] 44A706D7 udot z23.s, z22.b, z7.b[0] 44A1076E udot z14.s, z27.b, z1.b[0] 44B506D8 udot z24.s, z22.b, z5.b[2] // umax_z_p_zz_ 00000100xx001001000xxxxxxxxxxxxx 04C90F51 umax z17.d, p3/m, z17.d, z26.d 04091882 umax z2.b, p6/m, z2.b, z4.b 04491AEF umax z15.h, p6/m, z15.h, z23.h 04C91A65 umax z5.d, p6/m, z5.d, z19.d 04890AF7 umax z23.s, p2/m, z23.s, z23.s 04C9052A umax z10.d, p1/m, z10.d, z9.d 0409059B umax z27.b, p1/m, z27.b, z12.b 04490CF5 umax z21.h, p3/m, z21.h, z7.h 04C91581 umax z1.d, p5/m, z1.d, z12.d 04490802 umax z2.h, p2/m, z2.h, z0.h 048914B3 umax z19.s, p5/m, z19.s, z5.s 044904FA umax z26.h, p1/m, z26.h, z7.h 040909D9 umax z25.b, p2/m, z25.b, z14.b 04491954 umax z20.h, p6/m, z20.h, z10.h 04091A04 umax z4.b, p6/m, z4.b, z16.b 04891C36 umax z22.s, p7/m, z22.s, z1.s // umax_z_zi_ 00100101xx101001110xxxxxxxxxxxxx 2569DB67 umax z7.h, z7.h, #0xdb 2529CF6B umax z11.b, z11.b, #0x7b 2529DC81 umax z1.b, z1.b, #0xe4 25A9D203 umax z3.s, z3.s, #0x90 25A9D07C umax z28.s, z28.s, #0x83 25A9CDF8 umax z24.s, z24.s, #0x6f 2569D70C umax z12.h, z12.h, #0xb8 25E9C40B umax z11.d, z11.d, #0x20 2569C6E0 umax z0.h, z0.h, #0x37 2569D49C umax z28.h, z28.h, #0xa4 25E9C793 umax z19.d, z19.d, #0x3c 2529CAAF umax z15.b, z15.b, #0x55 25E9C6AE umax z14.d, z14.d, #0x35 2529C4B4 umax z20.b, z20.b, #0x25 25E9DDD3 umax z19.d, z19.d, #0xee 2569DE46 umax z6.h, z6.h, #0xf2 // umaxv_r_p_z_ 00000100xx001001001xxxxxxxxxxxxx 04C92394 umaxv d20, p0, z28.d 04C92F75 umaxv d21, p3, z27.d 04C93FED umaxv d13, p7, z31.d 040926F0 umaxv b16, p1, z23.b 040930DD umaxv b29, p4, z6.b 04893224 umaxv s4, p4, z17.s 04092096 umaxv b22, p0, z4.b 040928FD umaxv b29, p2, z7.b 04892AF2 umaxv s18, p2, z23.s 04492BDE umaxv h30, p2, z30.h 0449297D umaxv h29, p2, z11.h 04493FAB umaxv h11, p7, z29.h 04892198 umaxv s24, p0, z12.s 044922B7 umaxv h23, p0, z21.h 04C93FFD umaxv d29, p7, z31.d 04093082 umaxv b2, p4, z4.b // umin_z_p_zz_ 00000100xx001011000xxxxxxxxxxxxx 044B1F57 umin z23.h, p7/m, z23.h, z26.h 04CB00E5 umin z5.d, p0/m, z5.d, z7.d 040B1CB8 umin z24.b, p7/m, z24.b, z5.b 04CB065C umin z28.d, p1/m, z28.d, z18.d 04CB15C3 umin z3.d, p5/m, z3.d, z14.d 04CB0404 umin z4.d, p1/m, z4.d, z0.d 040B02F3 umin z19.b, p0/m, z19.b, z23.b 04CB174A umin z10.d, p5/m, z10.d, z26.d 048B033D umin z29.s, p0/m, z29.s, z25.s 04CB11F0 umin z16.d, p4/m, z16.d, z15.d 044B044A umin z10.h, p1/m, z10.h, z2.h 040B1CDA umin z26.b, p7/m, z26.b, z6.b 044B0A32 umin z18.h, p2/m, z18.h, z17.h 04CB1107 umin z7.d, p4/m, z7.d, z8.d 044B0A8D umin z13.h, p2/m, z13.h, z20.h 048B1A51 umin z17.s, p6/m, z17.s, z18.s // umin_z_zi_ 00100101xx101011110xxxxxxxxxxxxx 25EBC42C umin z12.d, z12.d, #0x21 25EBC2DB umin z27.d, z27.d, #0x16 25EBCEAA umin z10.d, z10.d, #0x75 25EBC91C umin z28.d, z28.d, #0x48 25ABC20D umin z13.s, z13.s, #0x10 25EBDA76 umin z22.d, z22.d, #0xd3 252BCD90 umin z16.b, z16.b, #0x6c 256BD9E1 umin z1.h, z1.h, #0xcf 256BD05E umin z30.h, z30.h, #0x82 25EBD91C umin z28.d, z28.d, #0xc8 252BD6BE umin z30.b, z30.b, #0xb5 256BD2B1 umin z17.h, z17.h, #0x95 256BDA27 umin z7.h, z7.h, #0xd1 252BCD0F umin z15.b, z15.b, #0x68 256BC289 umin z9.h, z9.h, #0x14 256BC42C umin z12.h, z12.h, #0x21 // uminv_r_p_z_ 00000100xx001011001xxxxxxxxxxxxx 04CB3ABB uminv d27, p6, z21.d 040B3DE3 uminv b3, p7, z15.b 048B36D6 uminv s22, p5, z22.s 048B2A25 uminv s5, p2, z17.s 04CB3A18 uminv d24, p6, z16.d 044B2C34 uminv h20, p3, z1.h 044B3380 uminv h0, p4, z28.h 044B3A55 uminv h21, p6, z18.h 04CB22FE uminv d30, p0, z23.d 044B3FEB uminv h11, p7, z31.h 048B3FC5 uminv s5, p7, z30.s 044B3287 uminv h7, p4, z20.h 044B2263 uminv h3, p0, z19.h 048B2B56 uminv s22, p2, z26.s 048B3320 uminv s0, p4, z25.s 044B2BC7 uminv h7, p2, z30.h // ummla_z_zzz_ 01000101110xxxxx100110xxxxxxxxxx // umulh_z_p_zz_ 00000100xx010011000xxxxxxxxxxxxx 04931E53 umulh z19.s, p7/m, z19.s, z18.s 045301C0 umulh z0.h, p0/m, z0.h, z14.h 04D317F6 umulh z22.d, p5/m, z22.d, z31.d 04930567 umulh z7.s, p1/m, z7.s, z11.s 04D31F17 umulh z23.d, p7/m, z23.d, z24.d 04D3190D umulh z13.d, p6/m, z13.d, z8.d 04D31F5E umulh z30.d, p7/m, z30.d, z26.d 04D3037A umulh z26.d, p0/m, z26.d, z27.d 04131030 umulh z16.b, p4/m, z16.b, z1.b 045304E5 umulh z5.h, p1/m, z5.h, z7.h 049319DB umulh z27.s, p6/m, z27.s, z14.s 04930849 umulh z9.s, p2/m, z9.s, z2.s 04D3017E umulh z30.d, p0/m, z30.d, z11.d 04D30ED0 umulh z16.d, p3/m, z16.d, z22.d 0493036D umulh z13.s, p0/m, z13.s, z27.s 04D30072 umulh z18.d, p0/m, z18.d, z3.d // uqadd_z_zi_ 00100101xx10010111xxxxxxxxxxxxxx 2525D254 uqadd z20.b, z20.b, #0x92 25E5E002 uqadd z2.d, z2.d, #0x0, lsl #0x8 2565D2F1 uqadd z17.h, z17.h, #0x97 2565D8B2 uqadd z18.h, z18.h, #0xc5 25E5ECD6 uqadd z22.d, z22.d, #0x6600 25E5ECD6 uqadd z22.d, z22.d, #0x6600 2525C8D4 uqadd z20.b, z20.b, #0x46 25E5C26D uqadd z13.d, z13.d, #0x13 25E5FDD2 uqadd z18.d, z18.d, #0xee00 25E5C1E8 uqadd z8.d, z8.d, #0xf 25A5D458 uqadd z24.s, z24.s, #0xa2 2565FAD0 uqadd z16.h, z16.h, #0xd600 25A5E9A8 uqadd z8.s, z8.s, #0x4d00 2565E3C2 uqadd z2.h, z2.h, #0x1e00 25A5C40C uqadd z12.s, z12.s, #0x20 25E5D0B6 uqadd z22.d, z22.d, #0x85 2525D99F uqadd z31.b, z31.b, #0xcc // uqadd_z_zz_ 00000100xx1xxxxx000101xxxxxxxxxx 04F41611 uqadd z17.d, z16.d, z20.d 0430146A uqadd z10.b, z3.b, z16.b 04B81746 uqadd z6.s, z26.s, z24.s 0478145A uqadd z26.h, z2.h, z24.h 04AA1785 uqadd z5.s, z28.s, z10.s 04F417A4 uqadd z4.d, z29.d, z20.d 0434149D uqadd z29.b, z4.b, z20.b 046D15D6 uqadd z22.h, z14.h, z13.h 046F170A uqadd z10.h, z24.h, z15.h 046F1429 uqadd z9.h, z1.h, z15.h 04BF1509 uqadd z9.s, z8.s, z31.s 04B416CD uqadd z13.s, z22.s, z20.s 042716EB uqadd z11.b, z23.b, z7.b 04611435 uqadd z21.h, z1.h, z1.h 04BB1433 uqadd z19.s, z1.s, z27.s 042C1480 uqadd z0.b, z4.b, z12.b // uqdecb_r_rs_uw 000001000010xxxx111111xxxxxxxxxx 0421FDA1 uqdecb w1, vl256, mul #0x2 042CFC55 uqdecb w21, vl2, mul #0xd 0424FDB7 uqdecb w23, vl256, mul #0x5 042EFCA2 uqdecb w2, vl5, mul #0xf 042EFEB7 uqdecb w23, #0x15, mul #0xf 0420FD38 uqdecb w24, vl16 0425FFC4 uqdecb w4, mul3, mul #0x6 0425FD59 uqdecb w25, vl32, mul #0x6 0426FE20 uqdecb w0, #0x11, mul #0x7 0421FC77 uqdecb w23, vl3, mul #0x2 042AFF0A uqdecb w10, #0x18, mul #0xb 042AFF3A uqdecb w26, #0x19, mul #0xb 042BFCF3 uqdecb w19, vl7, mul #0xc 042AFF70 uqdecb w16, #0x1b, mul #0xb 0428FDFC uqdecb w28, #0xf, mul #0x9 0420FC49 uqdecb w9, vl2 // uqdecb_r_rs_x 000001000011xxxx111111xxxxxxxxxx 043FFE7B uqdecb x27, #0x13, mul #0x10 043EFEA1 uqdecb x1, #0x15, mul #0xf 0435FDF6 uqdecb x22, #0xf, mul #0x6 043CFF47 uqdecb x7, #0x1a, mul #0xd 043AFC7E uqdecb x30, vl3, mul #0xb 043EFE39 uqdecb x25, #0x11, mul #0xf 0437FE0C uqdecb x12, #0x10, mul #0x8 0436FF15 uqdecb x21, #0x18, mul #0x7 043EFE35 uqdecb x21, #0x11, mul #0xf 0430FF93 uqdecb x19, #0x1c 0436FDB2 uqdecb x18, vl256, mul #0x7 043FFF0C uqdecb x12, #0x18, mul #0x10 043EFDB0 uqdecb x16, vl256, mul #0xf 0432FF45 uqdecb x5, #0x1a, mul #0x3 0431FD1F uqdecb xzr, vl8, mul #0x2 0439FFC4 uqdecb x4, mul3, mul #0xa // uqdecd_r_rs_uw 000001001110xxxx111111xxxxxxxxxx 04E5FE53 uqdecd w19, #0x12, mul #0x6 04E7FC7F uqdecd wzr, vl3, mul #0x8 04EFFDB8 uqdecd w24, vl256, mul #0x10 04E2FEDB uqdecd w27, #0x16, mul #0x3 04EBFDF7 uqdecd w23, #0xf, mul #0xc 04EBFF42 uqdecd w2, #0x1a, mul #0xc 04ECFEC9 uqdecd w9, #0x16, mul #0xd 04E5FEB8 uqdecd w24, #0x15, mul #0x6 04EDFD23 uqdecd w3, vl16, mul #0xe 04EFFE94 uqdecd w20, #0x14, mul #0x10 04E7FF7D uqdecd w29, #0x1b, mul #0x8 04EEFEE2 uqdecd w2, #0x17, mul #0xf 04EDFE6B uqdecd w11, #0x13, mul #0xe 04E8FF62 uqdecd w2, #0x1b, mul #0x9 04E7FDFE uqdecd w30, #0xf, mul #0x8 04E3FF88 uqdecd w8, #0x1c, mul #0x4 // uqdecd_r_rs_x 000001001111xxxx111111xxxxxxxxxx 04FDFDD6 uqdecd x22, #0xe, mul #0xe 04FEFD3B uqdecd x27, vl16, mul #0xf 04F4FF37 uqdecd x23, #0x19, mul #0x5 04F8FE8F uqdecd x15, #0x14, mul #0x9 04F6FFF9 uqdecd x25, all, mul #0x7 04F8FD81 uqdecd x1, vl128, mul #0x9 04F3FC17 uqdecd x23, pow2, mul #0x4 04FAFD0A uqdecd x10, vl8, mul #0xb 04FDFCFC uqdecd x28, vl7, mul #0xe 04F9FE10 uqdecd x16, #0x10, mul #0xa 04F2FD4F uqdecd x15, vl32, mul #0x3 04F0FE37 uqdecd x23, #0x11 04F4FE79 uqdecd x25, #0x13, mul #0x5 04FBFC6A uqdecd x10, vl3, mul #0xc 04F5FE12 uqdecd x18, #0x10, mul #0x6 04F7FD63 uqdecd x3, vl64, mul #0x8 // uqdecd_z_zs_ 000001001110xxxx110011xxxxxxxxxx 04E9CF85 uqdecd z5.d, #0x1c, mul #0xa 04EACE0D uqdecd z13.d, #0x10, mul #0xb 04E6CD9B uqdecd z27.d, vl128, mul #0x7 04E3CDC2 uqdecd z2.d, #0xe, mul #0x4 04E0CC3C uqdecd z28.d, vl1 04E6CF96 uqdecd z22.d, #0x1c, mul #0x7 04EBCE5C uqdecd z28.d, #0x12, mul #0xc 04EACD4D uqdecd z13.d, vl32, mul #0xb 04E2CC11 uqdecd z17.d, pow2, mul #0x3 04E9CD73 uqdecd z19.d, vl64, mul #0xa 04E4CE4C uqdecd z12.d, #0x12, mul #0x5 04EECFA8 uqdecd z8.d, mul4, mul #0xf 04E5CD8B uqdecd z11.d, vl128, mul #0x6 04E5CE9B uqdecd z27.d, #0x14, mul #0x6 04E4CC28 uqdecd z8.d, vl1, mul #0x5 04EECCC2 uqdecd z2.d, vl6, mul #0xf // uqdech_r_rs_uw 000001000110xxxx111111xxxxxxxxxx 0467FEE7 uqdech w7, #0x17, mul #0x8 0468FF06 uqdech w6, #0x18, mul #0x9 0465FD79 uqdech w25, vl64, mul #0x6 0464FF47 uqdech w7, #0x1a, mul #0x5 046DFEEB uqdech w11, #0x17, mul #0xe 0463FCB0 uqdech w16, vl5, mul #0x4 0460FD3A uqdech w26, vl16 0460FEAF uqdech w15, #0x15 046AFD7B uqdech w27, vl64, mul #0xb 046EFD1A uqdech w26, vl8, mul #0xf 046AFE5A uqdech w26, #0x12, mul #0xb 046BFFEE uqdech w14, all, mul #0xc 0464FDA1 uqdech w1, vl256, mul #0x5 0461FCDF uqdech wzr, vl6, mul #0x2 0463FD8E uqdech w14, vl128, mul #0x4 0464FDA0 uqdech w0, vl256, mul #0x5 // uqdech_r_rs_x 000001000111xxxx111111xxxxxxxxxx 0472FDD9 uqdech x25, #0xe, mul #0x3 0472FF0C uqdech x12, #0x18, mul #0x3 0477FEC7 uqdech x7, #0x16, mul #0x8 047AFE5C uqdech x28, #0x12, mul #0xb 0471FD6C uqdech x12, vl64, mul #0x2 0477FCA0 uqdech x0, vl5, mul #0x8 047CFC59 uqdech x25, vl2, mul #0xd 0471FDB4 uqdech x20, vl256, mul #0x2 0475FEBA uqdech x26, #0x15, mul #0x6 0477FE03 uqdech x3, #0x10, mul #0x8 047FFD7C uqdech x28, vl64, mul #0x10 0472FDD0 uqdech x16, #0xe, mul #0x3 0470FE90 uqdech x16, #0x14 0477FC0B uqdech x11, pow2, mul #0x8 047EFEB5 uqdech x21, #0x15, mul #0xf 0470FFFB uqdech x27 // uqdech_z_zs_ 000001000110xxxx110011xxxxxxxxxx 046ECD28 uqdech z8.h, vl16, mul #0xf 0466CC34 uqdech z20.h, vl1, mul #0x7 0466CEE1 uqdech z1.h, #0x17, mul #0x7 046FCE8E uqdech z14.h, #0x14, mul #0x10 046DCDAE uqdech z14.h, vl256, mul #0xe 0461CD9F uqdech z31.h, vl128, mul #0x2 046ACD96 uqdech z22.h, vl128, mul #0xb 046FCCE2 uqdech z2.h, vl7, mul #0x10 046ECF53 uqdech z19.h, #0x1a, mul #0xf 0463CE93 uqdech z19.h, #0x14, mul #0x4 046DCD35 uqdech z21.h, vl16, mul #0xe 0469CE7A uqdech z26.h, #0x13, mul #0xa 0466CC79 uqdech z25.h, vl3, mul #0x7 0468CC72 uqdech z18.h, vl3, mul #0x9 046DCC5A uqdech z26.h, vl2, mul #0xe 046CCC63 uqdech z3.h, vl3, mul #0xd // uqdecp_r_p_r_uw 00100101xx1010111000100xxxxxxxxx 256B89E6 uqdecp w6, p15.h 256B8902 uqdecp w2, p8.h 25AB8896 uqdecp w22, p4.s 25AB8912 uqdecp w18, p8.s 25AB883F uqdecp wzr, p1.s 256B8845 uqdecp w5, p2.h 25EB8945 uqdecp w5, p10.d 25EB89F4 uqdecp w20, p15.d 256B889F uqdecp wzr, p4.h 256B8909 uqdecp w9, p8.h 25AB88F7 uqdecp w23, p7.s 256B897D uqdecp w29, p11.h 25EB894A uqdecp w10, p10.d 25AB88B9 uqdecp w25, p5.s 256B89E5 uqdecp w5, p15.h 256B88F4 uqdecp w20, p7.h // uqdecp_r_p_r_x 00100101xx1010111000110xxxxxxxxx 256B8D5E uqdecp x30, p10.h 25EB8D14 uqdecp x20, p8.d 25EB8DF6 uqdecp x22, p15.d 256B8DFD uqdecp x29, p15.h 252B8C1B uqdecp x27, p0.b 25AB8C88 uqdecp x8, p4.s 252B8CE2 uqdecp x2, p7.b 256B8D31 uqdecp x17, p9.h 25EB8DFC uqdecp x28, p15.d 256B8CDA uqdecp x26, p6.h 25EB8C12 uqdecp x18, p0.d 256B8D16 uqdecp x22, p8.h 25EB8C4E uqdecp x14, p2.d 252B8D00 uqdecp x0, p8.b 25EB8CE0 uqdecp x0, p7.d 25AB8D56 uqdecp x22, p10.s // uqdecp_z_p_z_ 00100101xx1010111000000xxxxxxxxx 256B8104 uqdecp z4.h, p8 256B80E4 uqdecp z4.h, p7 25EB8034 uqdecp z20.d, p1 25EB80DC uqdecp z28.d, p6 25AB81C3 uqdecp z3.s, p14 25EB8097 uqdecp z23.d, p4 25AB8079 uqdecp z25.s, p3 25AB81FA uqdecp z26.s, p15 25AB804E uqdecp z14.s, p2 25EB810D uqdecp z13.d, p8 25AB81B7 uqdecp z23.s, p13 25AB8026 uqdecp z6.s, p1 256B8132 uqdecp z18.h, p9 25AB80D4 uqdecp z20.s, p6 25EB8094 uqdecp z20.d, p4 256B802C uqdecp z12.h, p1 // uqdecw_r_rs_uw 000001001010xxxx111111xxxxxxxxxx 04A6FC0F uqdecw w15, pow2, mul #0x7 04A5FDD6 uqdecw w22, #0xe, mul #0x6 04A1FCA2 uqdecw w2, vl5, mul #0x2 04A5FCDD uqdecw w29, vl6, mul #0x6 04ABFF0B uqdecw w11, #0x18, mul #0xc 04A1FFA4 uqdecw w4, mul4, mul #0x2 04ADFC0D uqdecw w13, pow2, mul #0xe 04AFFD7E uqdecw w30, vl64, mul #0x10 04A2FE2B uqdecw w11, #0x11, mul #0x3 04A9FD8F uqdecw w15, vl128, mul #0xa 04A1FF46 uqdecw w6, #0x1a, mul #0x2 04ABFEF7 uqdecw w23, #0x17, mul #0xc 04A8FE34 uqdecw w20, #0x11, mul #0x9 04ACFCE2 uqdecw w2, vl7, mul #0xd 04A7FF7C uqdecw w28, #0x1b, mul #0x8 04A4FDFB uqdecw w27, #0xf, mul #0x5 // uqdecw_r_rs_x 000001001011xxxx111111xxxxxxxxxx 04B5FF1B uqdecw x27, #0x18, mul #0x6 04B2FE77 uqdecw x23, #0x13, mul #0x3 04B2FC30 uqdecw x16, vl1, mul #0x3 04BFFF0C uqdecw x12, #0x18, mul #0x10 04BDFC8C uqdecw x12, vl4, mul #0xe 04BBFCFD uqdecw x29, vl7, mul #0xc 04BEFDEC uqdecw x12, #0xf, mul #0xf 04B2FC1B uqdecw x27, pow2, mul #0x3 04B6FDFF uqdecw xzr, #0xf, mul #0x7 04BAFE9B uqdecw x27, #0x14, mul #0xb 04B0FEA5 uqdecw x5, #0x15 04BBFF7C uqdecw x28, #0x1b, mul #0xc 04BBFC28 uqdecw x8, vl1, mul #0xc 04B5FE2F uqdecw x15, #0x11, mul #0x6 04B3FF0E uqdecw x14, #0x18, mul #0x4 04B5FC64 uqdecw x4, vl3, mul #0x6 // uqdecw_z_zs_ 000001001010xxxx110011xxxxxxxxxx 04AECDFA uqdecw z26.s, #0xf, mul #0xf 04A9CDFC uqdecw z28.s, #0xf, mul #0xa 04A5CF2E uqdecw z14.s, #0x19, mul #0x6 04A9CEAC uqdecw z12.s, #0x15, mul #0xa 04AFCE5E uqdecw z30.s, #0x12, mul #0x10 04AACE7B uqdecw z27.s, #0x13, mul #0xb 04A3CF56 uqdecw z22.s, #0x1a, mul #0x4 04A1CE28 uqdecw z8.s, #0x11, mul #0x2 04AFCCF8 uqdecw z24.s, vl7, mul #0x10 04A3CF03 uqdecw z3.s, #0x18, mul #0x4 04A7CE00 uqdecw z0.s, #0x10, mul #0x8 04ADCDE4 uqdecw z4.s, #0xf, mul #0xe 04A1CDE2 uqdecw z2.s, #0xf, mul #0x2 04A1CF54 uqdecw z20.s, #0x1a, mul #0x2 04A4CE5E uqdecw z30.s, #0x12, mul #0x5 04A3CDB9 uqdecw z25.s, vl256, mul #0x4 // uqincb_r_rs_uw 000001000010xxxx111101xxxxxxxxxx 0428F4A9 uqincb w9, vl5, mul #0x9 042CF7A9 uqincb w9, mul4, mul #0xd 042CF4E8 uqincb w8, vl7, mul #0xd 0421F741 uqincb w1, #0x1a, mul #0x2 0420F79D uqincb w29, #0x1c 0423F682 uqincb w2, #0x14, mul #0x4 0421F54A uqincb w10, vl32, mul #0x2 042CF6F0 uqincb w16, #0x17, mul #0xd 0424F7A1 uqincb w1, mul4, mul #0x5 042AF480 uqincb w0, vl4, mul #0xb 042BF68A uqincb w10, #0x14, mul #0xc 0421F46F uqincb w15, vl3, mul #0x2 042CF444 uqincb w4, vl2, mul #0xd 0423F541 uqincb w1, vl32, mul #0x4 042BF5E9 uqincb w9, #0xf, mul #0xc 042CF511 uqincb w17, vl8, mul #0xd // uqincb_r_rs_x 000001000011xxxx111101xxxxxxxxxx 0435F5A2 uqincb x2, vl256, mul #0x6 0439F4A9 uqincb x9, vl5, mul #0xa 043EF796 uqincb x22, #0x1c, mul #0xf 0436F7A0 uqincb x0, mul4, mul #0x7 0430F5E9 uqincb x9, #0xf 0432F766 uqincb x6, #0x1b, mul #0x3 0438F75F uqincb xzr, #0x1a, mul #0x9 0437F753 uqincb x19, #0x1a, mul #0x8 0432F7A2 uqincb x2, mul4, mul #0x3 0435F52B uqincb x11, vl16, mul #0x6 0432F624 uqincb x4, #0x11, mul #0x3 0430F50C uqincb x12, vl8 043CF6C0 uqincb x0, #0x16, mul #0xd 043EF4F9 uqincb x25, vl7, mul #0xf 0435F490 uqincb x16, vl4, mul #0x6 043FF7D3 uqincb x19, mul3, mul #0x10 // uqincd_r_rs_uw 000001001110xxxx111101xxxxxxxxxx 04ECF44F uqincd w15, vl2, mul #0xd 04E7F4AC uqincd w12, vl5, mul #0x8 04E5F5D5 uqincd w21, #0xe, mul #0x6 04EEF58D uqincd w13, vl128, mul #0xf 04EBF6E6 uqincd w6, #0x17, mul #0xc 04EBF7FF uqincd wzr, all, mul #0xc 04E7F6E6 uqincd w6, #0x17, mul #0x8 04E2F7F5 uqincd w21, all, mul #0x3 04E1F5CB uqincd w11, #0xe, mul #0x2 04EBF595 uqincd w21, vl128, mul #0xc 04E3F6C9 uqincd w9, #0x16, mul #0x4 04EDF562 uqincd w2, vl64, mul #0xe 04E0F786 uqincd w6, #0x1c 04ECF651 uqincd w17, #0x12, mul #0xd 04E5F66D uqincd w13, #0x13, mul #0x6 04ECF4EB uqincd w11, vl7, mul #0xd // uqincd_r_rs_x 000001001111xxxx111101xxxxxxxxxx 04F7F48D uqincd x13, vl4, mul #0x8 04F8F595 uqincd x21, vl128, mul #0x9 04F5F74B uqincd x11, #0x1a, mul #0x6 04F9F453 uqincd x19, vl2, mul #0xa 04FDF45E uqincd x30, vl2, mul #0xe 04F2F750 uqincd x16, #0x1a, mul #0x3 04F2F641 uqincd x1, #0x12, mul #0x3 04F8F4AF uqincd x15, vl5, mul #0x9 04F0F4D6 uqincd x22, vl6 04F8F675 uqincd x21, #0x13, mul #0x9 04F4F4A2 uqincd x2, vl5, mul #0x5 04FAF429 uqincd x9, vl1, mul #0xb 04F1F42E uqincd x14, vl1, mul #0x2 04FAF6C8 uqincd x8, #0x16, mul #0xb 04F0F539 uqincd x25, vl16 04F7F488 uqincd x8, vl4, mul #0x8 // uqincd_z_zs_ 000001001110xxxx110001xxxxxxxxxx 04EAC70F uqincd z15.d, #0x18, mul #0xb 04E1C5D9 uqincd z25.d, #0xe, mul #0x2 04EEC46A uqincd z10.d, vl3, mul #0xf 04E7C6A8 uqincd z8.d, #0x15, mul #0x8 04E6C4D2 uqincd z18.d, vl6, mul #0x7 04EBC700 uqincd z0.d, #0x18, mul #0xc 04EDC599 uqincd z25.d, vl128, mul #0xe 04E0C61A uqincd z26.d, #0x10 04E4C7E1 uqincd z1.d, all, mul #0x5 04E7C710 uqincd z16.d, #0x18, mul #0x8 04EEC6BC uqincd z28.d, #0x15, mul #0xf 04E6C5EE uqincd z14.d, #0xf, mul #0x7 04E0C46C uqincd z12.d, vl3 04EFC711 uqincd z17.d, #0x18, mul #0x10 04EAC685 uqincd z5.d, #0x14, mul #0xb 04E7C7F6 uqincd z22.d, all, mul #0x8 // uqinch_r_rs_uw 000001000110xxxx111101xxxxxxxxxx 0469F59E uqinch w30, vl128, mul #0xa 0465F754 uqinch w20, #0x1a, mul #0x6 0468F44F uqinch w15, vl2, mul #0x9 0464F443 uqinch w3, vl2, mul #0x5 0469F566 uqinch w6, vl64, mul #0xa 0467F495 uqinch w21, vl4, mul #0x8 0468F7B2 uqinch w18, mul4, mul #0x9 046CF60C uqinch w12, #0x10, mul #0xd 0460F546 uqinch w6, vl32 0468F5AD uqinch w13, vl256, mul #0x9 0461F604 uqinch w4, #0x10, mul #0x2 0463F4E3 uqinch w3, vl7, mul #0x4 046DF4E5 uqinch w5, vl7, mul #0xe 0460F5EE uqinch w14, #0xf 046FF7D6 uqinch w22, mul3, mul #0x10 046AF5D6 uqinch w22, #0xe, mul #0xb // uqinch_r_rs_x 000001000111xxxx111101xxxxxxxxxx 0477F5F9 uqinch x25, #0xf, mul #0x8 0478F46C uqinch x12, vl3, mul #0x9 0472F786 uqinch x6, #0x1c, mul #0x3 0477F437 uqinch x23, vl1, mul #0x8 047AF629 uqinch x9, #0x11, mul #0xb 0473F44B uqinch x11, vl2, mul #0x4 047CF72B uqinch x11, #0x19, mul #0xd 0474F7CB uqinch x11, mul3, mul #0x5 0478F546 uqinch x6, vl32, mul #0x9 047EF406 uqinch x6, pow2, mul #0xf 0477F68D uqinch x13, #0x14, mul #0x8 0474F68C uqinch x12, #0x14, mul #0x5 047FF50B uqinch x11, vl8, mul #0x10 0478F50C uqinch x12, vl8, mul #0x9 0476F5A4 uqinch x4, vl256, mul #0x7 0478F753 uqinch x19, #0x1a, mul #0x9 // uqinch_z_zs_ 000001000110xxxx110001xxxxxxxxxx 046AC7CA uqinch z10.h, mul3, mul #0xb 046CC666 uqinch z6.h, #0x13, mul #0xd 0462C548 uqinch z8.h, vl32, mul #0x3 0465C76E uqinch z14.h, #0x1b, mul #0x6 046CC505 uqinch z5.h, vl8, mul #0xd 0469C5B0 uqinch z16.h, vl256, mul #0xa 0465C66C uqinch z12.h, #0x13, mul #0x6 0463C5A2 uqinch z2.h, vl256, mul #0x4 0469C699 uqinch z25.h, #0x14, mul #0xa 0463C45C uqinch z28.h, vl2, mul #0x4 046FC512 uqinch z18.h, vl8, mul #0x10 0464C662 uqinch z2.h, #0x13, mul #0x5 0467C526 uqinch z6.h, vl16, mul #0x8 046EC583 uqinch z3.h, vl128, mul #0xf 0462C5E0 uqinch z0.h, #0xf, mul #0x3 0468C6D1 uqinch z17.h, #0x16, mul #0x9 // uqincp_r_p_r_uw 00100101xx1010011000100xxxxxxxxx 25A989CB uqincp w11, p14.s 25298921 uqincp w1, p9.b 256988B8 uqincp w24, p5.h 25E988C1 uqincp w1, p6.d 2529898E uqincp w14, p12.b 25A989C0 uqincp w0, p14.s 252989F0 uqincp w16, p15.b 25E98914 uqincp w20, p8.d 25E98957 uqincp w23, p10.d 2569899E uqincp w30, p12.h 256988F1 uqincp w17, p7.h 25E98888 uqincp w8, p4.d 2529899D uqincp w29, p12.b 25298924 uqincp w4, p9.b 256988E3 uqincp w3, p7.h 25A98858 uqincp w24, p2.s // uqincp_r_p_r_x 00100101xx1010011000110xxxxxxxxx 25698C34 uqincp x20, p1.h 25A98CFE uqincp x30, p7.s 25298D9A uqincp x26, p12.b 25A98CCB uqincp x11, p6.s 25698CC8 uqincp x8, p6.h 25E98C4A uqincp x10, p2.d 25298C41 uqincp x1, p2.b 25298D92 uqincp x18, p12.b 25698C15 uqincp x21, p0.h 25698CAF uqincp x15, p5.h 25298D6C uqincp x12, p11.b 25298D1C uqincp x28, p8.b 25A98D2F uqincp x15, p9.s 25698C19 uqincp x25, p0.h 25298C55 uqincp x21, p2.b 25E98CC6 uqincp x6, p6.d // uqincp_z_p_z_ 00100101xx1010011000000xxxxxxxxx 25E981CA uqincp z10.d, p14 25698049 uqincp z9.h, p2 25698105 uqincp z5.h, p8 25A98122 uqincp z2.s, p9 25E9800F uqincp z15.d, p0 25A98127 uqincp z7.s, p9 25A980D7 uqincp z23.s, p6 25A98166 uqincp z6.s, p11 25E981FD uqincp z29.d, p15 25E98191 uqincp z17.d, p12 25698095 uqincp z21.h, p4 25E9805D uqincp z29.d, p2 2569810A uqincp z10.h, p8 25698140 uqincp z0.h, p10 25698081 uqincp z1.h, p4 25E98115 uqincp z21.d, p8 // uqincw_r_rs_uw 000001001010xxxx111101xxxxxxxxxx 04A0F703 uqincw w3, #0x18 04ABF78C uqincw w12, #0x1c, mul #0xc 04ACF4AC uqincw w12, vl5, mul #0xd 04AEF57D uqincw w29, vl64, mul #0xf 04A2F734 uqincw w20, #0x19, mul #0x3 04A8F53D uqincw w29, vl16, mul #0x9 04A2F645 uqincw w5, #0x12, mul #0x3 04A8F5B4 uqincw w20, vl256, mul #0x9 04A2F655 uqincw w21, #0x12, mul #0x3 04A6F421 uqincw w1, vl1, mul #0x7 04A2F693 uqincw w19, #0x14, mul #0x3 04AFF404 uqincw w4, pow2, mul #0x10 04A5F459 uqincw w25, vl2, mul #0x6 04ACF630 uqincw w16, #0x11, mul #0xd 04A2F56F uqincw w15, vl64, mul #0x3 04A5F709 uqincw w9, #0x18, mul #0x6 // uqincw_r_rs_x 000001001011xxxx111101xxxxxxxxxx 04B7F7D4 uqincw x20, mul3, mul #0x8 04B4F6A3 uqincw x3, #0x15, mul #0x5 04B9F43B uqincw x27, vl1, mul #0xa 04B0F694 uqincw x20, #0x14 04B9F66E uqincw x14, #0x13, mul #0xa 04BFF547 uqincw x7, vl32, mul #0x10 04B2F757 uqincw x23, #0x1a, mul #0x3 04B0F646 uqincw x6, #0x12 04BFF59C uqincw x28, vl128, mul #0x10 04BEF5D3 uqincw x19, #0xe, mul #0xf 04BFF420 uqincw x0, vl1, mul #0x10 04BDF6FD uqincw x29, #0x17, mul #0xe 04B1F703 uqincw x3, #0x18, mul #0x2 04B5F672 uqincw x18, #0x13, mul #0x6 04B8F7D6 uqincw x22, mul3, mul #0x9 04BDF66C uqincw x12, #0x13, mul #0xe // uqincw_z_zs_ 000001001010xxxx110001xxxxxxxxxx 04ABC7BA uqincw z26.s, mul4, mul #0xc 04A4C77D uqincw z29.s, #0x1b, mul #0x5 04A5C5E3 uqincw z3.s, #0xf, mul #0x6 04A4C7F4 uqincw z20.s, all, mul #0x5 04A1C4BD uqincw z29.s, vl5, mul #0x2 04ACC5CD uqincw z13.s, #0xe, mul #0xd 04A4C774 uqincw z20.s, #0x1b, mul #0x5 04A4C55C uqincw z28.s, vl32, mul #0x5 04A6C745 uqincw z5.s, #0x1a, mul #0x7 04A9C490 uqincw z16.s, vl4, mul #0xa 04ABC537 uqincw z23.s, vl16, mul #0xc 04AEC4A6 uqincw z6.s, vl5, mul #0xf 04A8C510 uqincw z16.s, vl8, mul #0x9 04AEC695 uqincw z21.s, #0x14, mul #0xf 04A8C675 uqincw z21.s, #0x13, mul #0x9 04A6C51C uqincw z28.s, vl8, mul #0x7 // uqsub_z_zi_ 00100101xx10011111xxxxxxxxxxxxxx 25E7D5A8 uqsub z8.d, z8.d, #0xad 2567E088 uqsub z8.h, z8.h, #0x400 25E7C151 uqsub z17.d, z17.d, #0xa 2527D3D3 uqsub z19.b, z19.b, #0x9e 2567FA53 uqsub z19.h, z19.h, #0xd200 2527DD00 uqsub z0.b, z0.b, #0xe8 25A7E436 uqsub z22.s, z22.s, #0x2100 25E7CA86 uqsub z6.d, z6.d, #0x54 2527D565 uqsub z5.b, z5.b, #0xab 25E7FACD uqsub z13.d, z13.d, #0xd600 2567C71B uqsub z27.h, z27.h, #0x38 2567E807 uqsub z7.h, z7.h, #0x4000 25E7F911 uqsub z17.d, z17.d, #0xc800 2567DB48 uqsub z8.h, z8.h, #0xda 25A7C07F uqsub z31.s, z31.s, #0x3 2527D013 uqsub z19.b, z19.b, #0x80 // uqsub_z_zz_ 00000100xx1xxxxx000111xxxxxxxxxx 042D1D6C uqsub z12.b, z11.b, z13.b 04AB1CF7 uqsub z23.s, z7.s, z11.s 04EA1DB2 uqsub z18.d, z13.d, z10.d 04A71C94 uqsub z20.s, z4.s, z7.s 04E51E89 uqsub z9.d, z20.d, z5.d 04231F3E uqsub z30.b, z25.b, z3.b 04E91EDE uqsub z30.d, z22.d, z9.d 04731CCD uqsub z13.h, z6.h, z19.h 04FF1DD8 uqsub z24.d, z14.d, z31.d 04A81FCB uqsub z11.s, z30.s, z8.s 04A91DF4 uqsub z20.s, z15.s, z9.s 047E1E53 uqsub z19.h, z18.h, z30.h 04601FA7 uqsub z7.h, z29.h, z0.h 04381DCE uqsub z14.b, z14.b, z24.b 042A1C15 uqsub z21.b, z0.b, z10.b 04E81E1A uqsub z26.d, z16.d, z8.d // usdot_z_zzz_s 01000100100xxxxx011110xxxxxxxxxx // usdot_z_zzzi_s 01000100101xxxxx000110xxxxxxxxxx // usmmla_z_zzz_ 01000101100xxxxx100110xxxxxxxxxx // uunpkhi_z_z_ 00000101xx110011001110xxxxxxxxxx 05B3383E uunpkhi z30.s, z1.h 05B33BAF uunpkhi z15.s, z29.h 05F33AEF uunpkhi z15.d, z23.s 05733898 uunpkhi z24.h, z4.b 05733B3C uunpkhi z28.h, z25.b 05F3380F uunpkhi z15.d, z0.s 05B338F9 uunpkhi z25.s, z7.h 05F33883 uunpkhi z3.d, z4.s 05B33997 uunpkhi z23.s, z12.h 057339F2 uunpkhi z18.h, z15.b 057338B0 uunpkhi z16.h, z5.b 05F33910 uunpkhi z16.d, z8.s 05B33A38 uunpkhi z24.s, z17.h 05B33927 uunpkhi z7.s, z9.h 05B33B18 uunpkhi z24.s, z24.h 05733B8F uunpkhi z15.h, z28.b // uunpklo_z_z_ 00000101xx110010001110xxxxxxxxxx 05B23BBD uunpklo z29.s, z29.h 05F2380B uunpklo z11.d, z0.s 05B23AEA uunpklo z10.s, z23.h 05723853 uunpklo z19.h, z2.b 05B239FE uunpklo z30.s, z15.h 05B23B01 uunpklo z1.s, z24.h 05B2381B uunpklo z27.s, z0.h 05F23B99 uunpklo z25.d, z28.s 05F23892 uunpklo z18.d, z4.s 057238BA uunpklo z26.h, z5.b 05723AFC uunpklo z28.h, z23.b 05723868 uunpklo z8.h, z3.b 05B23BBA uunpklo z26.s, z29.h 05B2391D uunpklo z29.s, z8.h 05B23967 uunpklo z7.s, z11.h 05B239E1 uunpklo z1.s, z15.h // uxtb_z_p_z_ 00000100xx010001101xxxxxxxxxxxxx 0451BEED uxtb z13.h, p7/m, z23.h 0491AB44 uxtb z4.s, p2/m, z26.s 0451A332 uxtb z18.h, p0/m, z25.h 0451A288 uxtb z8.h, p0/m, z20.h 04D1B039 uxtb z25.d, p4/m, z1.d 0451A662 uxtb z2.h, p1/m, z19.h 0451A5BA uxtb z26.h, p1/m, z13.h 0491BBFF uxtb z31.s, p6/m, z31.s 0451A756 uxtb z22.h, p1/m, z26.h 04D1AB12 uxtb z18.d, p2/m, z24.d 04D1B383 uxtb z3.d, p4/m, z28.d 04D1AF4A uxtb z10.d, p3/m, z26.d 0491B589 uxtb z9.s, p5/m, z12.s 0491BA82 uxtb z2.s, p6/m, z20.s 04D1B8BC uxtb z28.d, p6/m, z5.d 04D1B1F0 uxtb z16.d, p4/m, z15.d // uxth_z_p_z_ 000001001x010011101xxxxxxxxxxxxx 04D3A63F uxth z31.d, p1/m, z17.d 04D3B6CE uxth z14.d, p5/m, z22.d 04D3BDDB uxth z27.d, p7/m, z14.d 04D3BB85 uxth z5.d, p6/m, z28.d 04D3BB66 uxth z6.d, p6/m, z27.d 04D3AB79 uxth z25.d, p2/m, z27.d 0493BFF4 uxth z20.s, p7/m, z31.s 0493AA82 uxth z2.s, p2/m, z20.s 0493BA50 uxth z16.s, p6/m, z18.s 0493B19D uxth z29.s, p4/m, z12.s 04D3AB31 uxth z17.d, p2/m, z25.d 0493A9F7 uxth z23.s, p2/m, z15.s 04D3A1B1 uxth z17.d, p0/m, z13.d 0493BAD1 uxth z17.s, p6/m, z22.s 0493B2BF uxth z31.s, p4/m, z21.s 0493B01D uxth z29.s, p4/m, z0.s // uxtw_z_p_z_ 0000010011010101101xxxxxxxxxxxxx 04D5AB2D uxtw z13.d, p2/m, z25.d 04D5AD06 uxtw z6.d, p3/m, z8.d 04D5BAE1 uxtw z1.d, p6/m, z23.d 04D5B31B uxtw z27.d, p4/m, z24.d 04D5B107 uxtw z7.d, p4/m, z8.d 04D5BAF5 uxtw z21.d, p6/m, z23.d 04D5A199 uxtw z25.d, p0/m, z12.d 04D5AB08 uxtw z8.d, p2/m, z24.d 04D5A3B3 uxtw z19.d, p0/m, z29.d 04D5A608 uxtw z8.d, p1/m, z16.d 04D5AF3A uxtw z26.d, p3/m, z25.d 04D5B366 uxtw z6.d, p4/m, z27.d 04D5AFEF uxtw z15.d, p3/m, z31.d 04D5ABAC uxtw z12.d, p2/m, z29.d 04D5AFF6 uxtw z22.d, p3/m, z31.d 04D5ABDC uxtw z28.d, p2/m, z30.d // uzp1_p_pp_ 00000101xx10xxxx0100100xxxx0xxxx 05A54804 uzp1 p4.s, p0.s, p5.s 056E4840 uzp1 p0.h, p2.h, p14.h 05A548AE uzp1 p14.s, p5.s, p5.s 05294906 uzp1 p6.b, p8.b, p9.b 05E648A3 uzp1 p3.d, p5.d, p6.d 05AD482A uzp1 p10.s, p1.s, p13.s 05A94964 uzp1 p4.s, p11.s, p9.s 056E4961 uzp1 p1.h, p11.h, p14.h 05EB48EC uzp1 p12.d, p7.d, p11.d 05AB48C5 uzp1 p5.s, p6.s, p11.s 052C49CC uzp1 p12.b, p14.b, p12.b 05A4492B uzp1 p11.s, p9.s, p4.s 056748C3 uzp1 p3.h, p6.h, p7.h 052F4982 uzp1 p2.b, p12.b, p15.b 05E9496B uzp1 p11.d, p11.d, p9.d 05654885 uzp1 p5.h, p4.h, p5.h // uzp1_z_zz_ 00000101xx1xxxxx011010xxxxxxxxxx 052A6BA5 uzp1 z5.b, z29.b, z10.b 05B46882 uzp1 z2.s, z4.s, z20.s 05306B3F uzp1 z31.b, z25.b, z16.b 05776994 uzp1 z20.h, z12.h, z23.h 05E868CA uzp1 z10.d, z6.d, z8.d 05F06BAE uzp1 z14.d, z29.d, z16.d 052C6829 uzp1 z9.b, z1.b, z12.b 056C689F uzp1 z31.h, z4.h, z12.h 05B76AA6 uzp1 z6.s, z21.s, z23.s 05736BBB uzp1 z27.h, z29.h, z19.h 05AD6B0F uzp1 z15.s, z24.s, z13.s 05686A25 uzp1 z5.h, z17.h, z8.h 05B16B3D uzp1 z29.s, z25.s, z17.s 05256970 uzp1 z16.b, z11.b, z5.b 05A96B7D uzp1 z29.s, z27.s, z9.s 05F0689B uzp1 z27.d, z4.d, z16.d // uzp1_z_zz_q 00000101101xxxxx000010xxxxxxxxxx // uzp2_p_pp_ 00000101xx10xxxx0100110xxxx0xxxx 05AA4D2A uzp2 p10.s, p9.s, p10.s 05A54DEE uzp2 p14.s, p15.s, p5.s 05604CE3 uzp2 p3.h, p7.h, p0.h 05E84C80 uzp2 p0.d, p4.d, p8.d 052F4CA9 uzp2 p9.b, p5.b, p15.b 05E24D84 uzp2 p4.d, p12.d, p2.d 05E34CE0 uzp2 p0.d, p7.d, p3.d 05A94DC0 uzp2 p0.s, p14.s, p9.s 05254CC4 uzp2 p4.b, p6.b, p5.b 05E54DAF uzp2 p15.d, p13.d, p5.d 05674CE8 uzp2 p8.h, p7.h, p7.h 056D4D4C uzp2 p12.h, p10.h, p13.h 056F4CA5 uzp2 p5.h, p5.h, p15.h 052F4C47 uzp2 p7.b, p2.b, p15.b 05AF4CE6 uzp2 p6.s, p7.s, p15.s 052F4C4B uzp2 p11.b, p2.b, p15.b // uzp2_z_zz_ 00000101xx1xxxxx011011xxxxxxxxxx 05EF6C78 uzp2 z24.d, z3.d, z15.d 05726E9C uzp2 z28.h, z20.h, z18.h 05786FAA uzp2 z10.h, z29.h, z24.h 05FF6D04 uzp2 z4.d, z8.d, z31.d 057C6D57 uzp2 z23.h, z10.h, z28.h 05F36C8D uzp2 z13.d, z4.d, z19.d 05EF6F09 uzp2 z9.d, z24.d, z15.d 05AE6C59 uzp2 z25.s, z2.s, z14.s 05BF6EAC uzp2 z12.s, z21.s, z31.s 05B36EDF uzp2 z31.s, z22.s, z19.s 05BA6C16 uzp2 z22.s, z0.s, z26.s 05246D57 uzp2 z23.b, z10.b, z4.b 05B76DFB uzp2 z27.s, z15.s, z23.s 05BC6C33 uzp2 z19.s, z1.s, z28.s 05666C66 uzp2 z6.h, z3.h, z6.h 05E06FE3 uzp2 z3.d, z31.d, z0.d // uzp2_z_zz_q 00000101101xxxxx000011xxxxxxxxxx // whilele_p_p_rr_ 00100101xx1xxxxx000x01xxxxx1xxxx 25FE163C whilele p12.d, x17, x30 252A17F5 whilele p5.b, xzr, x10 25F507DF whilele p15.d, w30, w21 257A0438 whilele p8.h, w1, w26 25B31716 whilele p6.s, x24, x19 25F807FC whilele p12.d, wzr, w24 25EC061A whilele p10.d, w16, w12 25B9059C whilele p12.s, w12, w25 25731792 whilele p2.h, x28, x19 25691510 whilele p0.h, x8, x9 253015D6 whilele p6.b, x14, x16 252207D6 whilele p6.b, w30, w2 25FE16BC whilele p12.d, x21, x30 2537159B whilele p11.b, x12, x23 257205F0 whilele p0.h, w15, w18 25210556 whilele p6.b, w10, w1 // whilelo_p_p_rr_ 00100101xx1xxxxx000x11xxxxx0xxxx 25E91E0C whilelo p12.d, x16, x9 25AA0FE9 whilelo p9.s, wzr, w10 252C1ECA whilelo p10.b, x22, x12 252D0CE2 whilelo p2.b, w7, w13 25B31E8C whilelo p12.s, x20, x19 25771C01 whilelo p1.h, x0, x23 25710D49 whilelo p9.h, w10, w17 25270FC9 whilelo p9.b, w30, w7 25F81EE3 whilelo p3.d, x23, x24 25BE0D85 whilelo p5.s, w12, w30 25740C6F whilelo p15.h, w3, w20 25B70E88 whilelo p8.s, w20, w23 25B20FA4 whilelo p4.s, w29, w18 25780C21 whilelo p1.h, w1, w24 25F60F49 whilelo p9.d, w26, w22 25AD0DEF whilelo p15.s, w15, w13 // whilels_p_p_rr_ 00100101xx1xxxxx000x11xxxxx1xxxx 25390C9C whilels p12.b, w4, w25 25221CF4 whilels p4.b, x7, x2 25F30DFF whilels p15.d, w15, w19 25241CF9 whilels p9.b, x7, x4 25281C72 whilels p2.b, x3, x8 25AD0D71 whilels p1.s, w11, w13 25671CB7 whilels p7.h, x5, x7 25701D10 whilels p0.h, x8, x16 25331FB1 whilels p1.b, x29, x19 25240EFB whilels p11.b, w23, w4 252F1C38 whilels p8.b, x1, x15 25791E12 whilels p2.h, x16, x25 25F81FFA whilels p10.d, xzr, x24 256D1D92 whilels p2.h, x12, x13 253D1DD8 whilels p8.b, x14, x29 25E11D7D whilels p13.d, x11, x1 // whilelt_p_p_rr_ 00100101xx1xxxxx000x01xxxxx0xxxx 256D042D whilelt p13.h, w1, w13 25BE0501 whilelt p1.s, w8, w30 25221521 whilelt p1.b, x9, x2 25FE0761 whilelt p1.d, w27, w30 25260548 whilelt p8.b, w10, w6 256416A1 whilelt p1.h, x21, x4 257407A2 whilelt p2.h, w29, w20 25E6144D whilelt p13.d, x2, x6 25BF05C2 whilelt p2.s, w14, wzr 25AC15AC whilelt p12.s, x13, x12 25EE05CC whilelt p12.d, w14, w14 256E1747 whilelt p7.h, x26, x14 25BA0489 whilelt p9.s, w4, w26 25E40749 whilelt p9.d, w26, w4 25F017CD whilelt p13.d, x30, x16 25300504 whilelt p4.b, w8, w16 // wrffr_f_p_ 00100101001010001001000xxxx00000 25289020 wrffr p1.b 25289100 wrffr p8.b 252891E0 wrffr p15.b 25289080 wrffr p4.b 25289040 wrffr p2.b 25289000 wrffr p0.b 252890A0 wrffr p5.b 25289120 wrffr p9.b 252891C0 wrffr p14.b 252890E0 wrffr p7.b 252890C0 wrffr p6.b 25289180 wrffr p12.b 25289140 wrffr p10.b 25289160 wrffr p11.b 252891A0 wrffr p13.b 25289060 wrffr p3.b // zip1_p_pp_ 00000101xx10xxxx0100000xxxx0xxxx 056E4169 zip1 p9.h, p11.h, p14.h 05264007 zip1 p7.b, p0.b, p6.b 05284006 zip1 p6.b, p0.b, p8.b 05AA418D zip1 p13.s, p12.s, p10.s 05A941CA zip1 p10.s, p14.s, p9.s 05E74128 zip1 p8.d, p9.d, p7.d 052A40C8 zip1 p8.b, p6.b, p10.b 05E5412A zip1 p10.d, p9.d, p5.d 05624062 zip1 p2.h, p3.h, p2.h 05EA4003 zip1 p3.d, p0.d, p10.d 05E741AA zip1 p10.d, p13.d, p7.d 05264188 zip1 p8.b, p12.b, p6.b 05E9414D zip1 p13.d, p10.d, p9.d 052240EF zip1 p15.b, p7.b, p2.b 05EC4040 zip1 p0.d, p2.d, p12.d 056D40AD zip1 p13.h, p5.h, p13.h // zip1_z_zz_ 00000101xx1xxxxx011000xxxxxxxxxx 053E617B zip1 z27.b, z11.b, z30.b 056663DF zip1 z31.h, z30.h, z6.h 05EA6225 zip1 z5.d, z17.d, z10.d 05266139 zip1 z25.b, z9.b, z6.b 05A060A4 zip1 z4.s, z5.s, z0.s 05A960D9 zip1 z25.s, z6.s, z9.s 053B638C zip1 z12.b, z28.b, z27.b 056F638D zip1 z13.h, z28.h, z15.h 057B6281 zip1 z1.h, z20.h, z27.h 05F1628E zip1 z14.d, z20.d, z17.d 05B861C4 zip1 z4.s, z14.s, z24.s 057860D1 zip1 z17.h, z6.h, z24.h 052362A6 zip1 z6.b, z21.b, z3.b 0532601D zip1 z29.b, z0.b, z18.b 05A060D5 zip1 z21.s, z6.s, z0.s 05F26130 zip1 z16.d, z9.d, z18.d // zip1_z_zz_q 00000101101xxxxx000000xxxxxxxxxx // zip2_p_pp_ 00000101xx10xxxx0100010xxxx0xxxx 05674527 zip2 p7.h, p9.h, p7.h 05284448 zip2 p8.b, p2.b, p8.b 052F45A2 zip2 p2.b, p13.b, p15.b 05A445E4 zip2 p4.s, p15.s, p4.s 0564444B zip2 p11.h, p2.h, p4.h 05244569 zip2 p9.b, p11.b, p4.b 05A244E2 zip2 p2.s, p7.s, p2.s 056B4567 zip2 p7.h, p11.h, p11.h 056F450E zip2 p14.h, p8.h, p15.h 056A456B zip2 p11.h, p11.h, p10.h 05604400 zip2 p0.h, p0.h, p0.h 05AF4427 zip2 p7.s, p1.s, p15.s 052545A7 zip2 p7.b, p13.b, p5.b 052D4540 zip2 p0.b, p10.b, p13.b 05E94424 zip2 p4.d, p1.d, p9.d 05A2450F zip2 p15.s, p8.s, p2.s // zip2_z_zz_ 00000101xx1xxxxx011001xxxxxxxxxx 05B96666 zip2 z6.s, z19.s, z25.s 05A66494 zip2 z20.s, z4.s, z6.s 053E65AF zip2 z15.b, z13.b, z30.b 05606653 zip2 z19.h, z18.h, z0.h 053265D6 zip2 z22.b, z14.b, z18.b 05296720 zip2 z0.b, z25.b, z9.b 05E06411 zip2 z17.d, z0.d, z0.d 056B64DB zip2 z27.h, z6.h, z11.h 053C65C5 zip2 z5.b, z14.b, z28.b 0575644F zip2 z15.h, z2.h, z21.h 05EE64E2 zip2 z2.d, z7.d, z14.d 053366BD zip2 z29.b, z21.b, z19.b 05B3660E zip2 z14.s, z16.s, z19.s 053965BB zip2 z27.b, z13.b, z25.b 056664A1 zip2 z1.h, z5.h, z6.h 05AB6761 zip2 z1.s, z27.s, z11.s // zip2_z_zz_q 00000101101xxxxx000001xxxxxxxxxx